0 SECTION 2 HEADER 9 $ACADVER 1 AC1021 9 $ACADMAINTVER 70 25 9 $DWGCODEPAGE 3 ANSI_1251 9 $INSBASE 10 0.0 20 0.0 30 0.0 9 $EXTMIN 10 -100.7841241696065 20 -139.4180990805929 30 0.0 9 $EXTMAX 10 243.3157910843155 20 145.6624906827389 30 0.0 9 $LIMMIN 10 0.0 20 0.0 9 $LIMMAX 10 420.0 20 297.0 9 $ORTHOMODE 70 0 9 $REGENMODE 70 1 9 $FILLMODE 70 1 9 $QTEXTMODE 70 0 9 $MIRRTEXT 70 1 9 $LTSCALE 40 1.0 9 $ATTMODE 70 1 9 $TEXTSIZE 40 2.5 9 $TRACEWID 40 1.0 9 $TEXTSTYLE 7 Standard 9 $CLAYER 8 0 9 $CELTYPE 6 ByLayer 9 $CECOLOR 62 256 9 $CELTSCALE 40 1.0 9 $DISPSILH 70 0 9 $DIMSCALE 40 1.0 9 $DIMASZ 40 2.5 9 $DIMEXO 40 0.625 9 $DIMDLI 40 3.75 9 $DIMRND 40 0.0 9 $DIMDLE 40 0.0 9 $DIMEXE 40 1.25 9 $DIMTP 40 0.0 9 $DIMTM 40 0.0 9 $DIMTXT 40 2.5 9 $DIMCEN 40 2.5 9 $DIMTSZ 40 0.0 9 $DIMTOL 70 0 9 $DIMLIM 70 0 9 $DIMTIH 70 0 9 $DIMTOH 70 0 9 $DIMSE1 70 0 9 $DIMSE2 70 0 9 $DIMTAD 70 1 9 $DIMZIN 70 8 9 $DIMBLK 1 9 $DIMASO 70 1 9 $DIMSHO 70 1 9 $DIMPOST 1 9 $DIMAPOST 1 9 $DIMALT 70 0 9 $DIMALTD 70 3 9 $DIMALTF 40 0.03937007874016 9 $DIMLFAC 40 1.0 9 $DIMTOFL 70 1 9 $DIMTVP 40 0.0 9 $DIMTIX 70 0 9 $DIMSOXD 70 0 9 $DIMSAH 70 0 9 $DIMBLK1 1 9 $DIMBLK2 1 9 $DIMSTYLE 2 ISO-25 9 $DIMCLRD 70 0 9 $DIMCLRE 70 0 9 $DIMCLRT 70 0 9 $DIMTFAC 40 1.0 9 $DIMGAP 40 0.625 9 $DIMJUST 70 0 9 $DIMSD1 70 0 9 $DIMSD2 70 0 9 $DIMTOLJ 70 0 9 $DIMTZIN 70 8 9 $DIMALTZ 70 0 9 $DIMALTTZ 70 0 9 $DIMUPT 70 0 9 $DIMDEC 70 2 9 $DIMTDEC 70 2 9 $DIMALTU 70 2 9 $DIMALTTD 70 3 9 $DIMTXSTY 7 Standard 9 $DIMAUNIT 70 0 9 $DIMADEC 70 0 9 $DIMALTRND 40 0.0 9 $DIMAZIN 70 0 9 $DIMDSEP 70 44 9 $DIMATFIT 70 3 9 $DIMFRAC 70 0 9 $DIMLDRBLK 1 9 $DIMLUNIT 70 2 9 $DIMLWD 70 -2 9 $DIMLWE 70 -2 9 $DIMTMOVE 70 0 9 $DIMFXL 40 1.0 9 $DIMFXLON 70 0 9 $DIMJOGANG 40 0.7853981633974483 9 $DIMTFILL 70 0 9 $DIMTFILLCLR 70 0 9 $DIMARCSYM 70 0 9 $DIMLTYPE 6 9 $DIMLTEX1 6 9 $DIMLTEX2 6 9 $LUNITS 70 2 9 $LUPREC 70 4 9 $SKETCHINC 40 1.0 9 $FILLETRAD 40 10.0 9 $AUNITS 70 0 9 $AUPREC 70 0 9 $MENU 1 . 9 $ELEVATION 40 0.0 9 $PELEVATION 40 0.0 9 $THICKNESS 40 0.0 9 $LIMCHECK 70 0 9 $CHAMFERA 40 0.0 9 $CHAMFERB 40 0.0 9 $CHAMFERC 40 0.0 9 $CHAMFERD 40 0.0 9 $SKPOLY 70 0 9 $TDCREATE 40 2458306.363785694 9 $TDUCREATE 40 2458306.197119028 9 $TDUPDATE 40 2458306.363790382 9 $TDUUPDATE 40 2458306.197123715 9 $TDINDWG 40 0.0000000116 9 $TDUSRTIMER 40 0.0000000116 9 $USRTIMER 70 1 9 $ANGBASE 50 0.0 9 $ANGDIR 70 0 9 $PDMODE 70 0 9 $PDSIZE 40 0.0 9 $PLINEWID 40 0.0 9 $SPLFRAME 70 0 9 $SPLINETYPE 70 6 9 $SPLINESEGS 70 8 9 $HANDSEED 5 140 9 $SURFTAB1 70 6 9 $SURFTAB2 70 6 9 $SURFTYPE 70 6 9 $SURFU 70 6 9 $SURFV 70 6 9 $UCSBASE 2 9 $UCSNAME 2 9 $UCSORG 10 0.0 20 0.0 30 0.0 9 $UCSXDIR 10 1.0 20 0.0 30 0.0 9 $UCSYDIR 10 0.0 20 1.0 30 0.0 9 $UCSORTHOREF 2 9 $UCSORTHOVIEW 70 0 9 $UCSORGTOP 10 0.0 20 0.0 30 0.0 9 $UCSORGBOTTOM 10 0.0 20 0.0 30 0.0 9 $UCSORGLEFT 10 0.0 20 0.0 30 0.0 9 $UCSORGRIGHT 10 0.0 20 0.0 30 0.0 9 $UCSORGFRONT 10 0.0 20 0.0 30 0.0 9 $UCSORGBACK 10 0.0 20 0.0 30 0.0 9 $PUCSBASE 2 9 $PUCSNAME 2 9 $PUCSORG 10 0.0 20 0.0 30 0.0 9 $PUCSXDIR 10 1.0 20 0.0 30 0.0 9 $PUCSYDIR 10 0.0 20 1.0 30 0.0 9 $PUCSORTHOREF 2 9 $PUCSORTHOVIEW 70 0 9 $PUCSORGTOP 10 0.0 20 0.0 30 0.0 9 $PUCSORGBOTTOM 10 0.0 20 0.0 30 0.0 9 $PUCSORGLEFT 10 0.0 20 0.0 30 0.0 9 $PUCSORGRIGHT 10 0.0 20 0.0 30 0.0 9 $PUCSORGFRONT 10 0.0 20 0.0 30 0.0 9 $PUCSORGBACK 10 0.0 20 0.0 30 0.0 9 $USERI1 70 0 9 $USERI2 70 0 9 $USERI3 70 0 9 $USERI4 70 0 9 $USERI5 70 0 9 $USERR1 40 0.0 9 $USERR2 40 0.0 9 $USERR3 40 0.0 9 $USERR4 40 0.0 9 $USERR5 40 0.0 9 $WORLDVIEW 70 1 9 $SHADEDGE 70 3 9 $SHADEDIF 70 70 9 $TILEMODE 70 1 9 $MAXACTVP 70 64 9 $PINSBASE 10 0.0 20 0.0 30 0.0 9 $PLIMCHECK 70 0 9 $PEXTMIN 10 1.000000000000000E+20 20 1.000000000000000E+20 30 1.000000000000000E+20 9 $PEXTMAX 10 -1.000000000000000E+20 20 -1.000000000000000E+20 30 -1.000000000000000E+20 9 $PLIMMIN 10 0.0 20 0.0 9 $PLIMMAX 10 420.0 20 297.0 9 $UNITMODE 70 0 9 $VISRETAIN 70 1 9 $PLINEGEN 70 0 9 $PSLTSCALE 70 1 9 $TREEDEPTH 70 3020 9 $CMLSTYLE 2 Standard 9 $CMLJUST 70 0 9 $CMLSCALE 40 20.0 9 $PROXYGRAPHICS 70 1 9 $MEASUREMENT 70 1 9 $CELWEIGHT 370 -1 9 $ENDCAPS 280 0 9 $JOINSTYLE 280 0 9 $LWDISPLAY 290 0 9 $INSUNITS 70 4 9 $HYPERLINKBASE 1 9 $STYLESHEET 1 9 $XEDIT 290 1 9 $CEPSNTYPE 380 0 9 $PSTYLEMODE 290 1 9 $FINGERPRINTGUID 2 {94C18F52-635D-48B6-80D2-53E9C68121E2} 9 $VERSIONGUID 2 {FAEB1C32-E019-11D5-929B-00C0DF256EC4} 9 $EXTNAMES 290 1 9 $PSVPSCALE 40 0.0 9 $OLESTARTUP 290 0 9 $SORTENTS 280 127 9 $INDEXCTL 280 0 9 $HIDETEXT 280 1 9 $XCLIPFRAME 290 0 9 $HALOGAP 280 0 9 $OBSCOLOR 70 257 9 $OBSLTYPE 280 0 9 $INTERSECTIONDISPLAY 280 0 9 $INTERSECTIONCOLOR 70 257 9 $DIMASSOC 280 2 9 $PROJECTNAME 1 9 $CAMERADISPLAY 290 0 9 $LENSLENGTH 40 50.0 9 $CAMERAHEIGHT 40 0.0 9 $STEPSPERSEC 40 2.0 9 $STEPSIZE 40 6.0 9 $3DDWFPREC 40 2.0 9 $PSOLWIDTH 40 5.0 9 $PSOLHEIGHT 40 80.0 9 $LOFTANG1 40 1.570796326794897 9 $LOFTANG2 40 1.570796326794897 9 $LOFTMAG1 40 0.0 9 $LOFTMAG2 40 0.0 9 $LOFTPARAM 70 7 9 $LOFTNORMALS 280 1 9 $LATITUDE 40 37.795 9 $LONGITUDE 40 -122.394 9 $NORTHDIRECTION 40 0.0 9 $TIMEZONE 70 -8000 9 $LIGHTGLYPHDISPLAY 280 1 9 $TILEMODELIGHTSYNCH 280 1 9 $CMATERIAL 347 44 9 $SOLIDHIST 280 0 9 $SHOWHIST 280 1 9 $DWFFRAME 280 2 9 $DGNFRAME 280 2 9 $REALWORLDSCALE 290 1 9 $INTERFERECOLOR 62 256 9 $CSHADOW 280 0 9 $SHADOWPLANELOCATION 40 0.0 0 ENDSEC 0 SECTION 2 CLASSES 0 CLASS 1 ACDBDICTIONARYWDFLT 2 AcDbDictionaryWithDefault 3 ObjectDBX Classes 90 0 91 4 280 0 281 0 0 CLASS 1 VISUALSTYLE 2 AcDbVisualStyle 3 ObjectDBX Classes 90 4095 91 4 280 0 281 0 0 CLASS 1 MATERIAL 2 AcDbMaterial 3 ObjectDBX Classes 90 1153 91 4 280 0 281 0 0 CLASS 1 SCALE 2 AcDbScale 3 ObjectDBX Classes 90 1153 91 4 280 0 281 0 0 CLASS 1 TABLESTYLE 2 AcDbTableStyle 3 ObjectDBX Classes 90 4095 91 4 280 0 281 0 0 CLASS 1 MLEADERSTYLE 2 AcDbMLeaderStyle 3 ACDB_MLEADERSTYLE_CLASS 90 4095 91 4 280 0 281 0 0 CLASS 1 SUN 2 AcDbSun 3 SCENEOE 90 1153 91 4 280 0 281 0 0 CLASS 1 DICTIONARYVAR 2 AcDbDictionaryVar 3 ObjectDBX Classes 90 0 91 4 280 0 281 0 0 CLASS 1 CELLSTYLEMAP 2 AcDbCellStyleMap 3 ObjectDBX Classes 90 1152 91 4 280 0 281 0 0 ENDSEC 0 SECTION 2 TABLES 0 TABLE 2 VPORT 5 8 330 0 100 AcDbSymbolTable 70 1 0 VPORT 5 29 330 8 100 AcDbSymbolTableRecord 100 AcDbViewportTableRecord 2 *Active 70 0 10 0.0 20 0.0 11 1.0 21 1.0 12 71.26583345735446 22 3.122195801072962 13 0.0 23 0.0 14 10.0 24 10.0 15 10.0 25 10.0 16 0.0 26 0.0 36 1.0 17 0.0 27 0.0 37 0.0 40 290.7822015585984 41 1.207026811399496 42 50.0 43 0.0 44 0.0 50 0.0 51 0.0 71 0 72 100 73 1 74 3 75 0 76 0 77 0 78 0 281 0 65 1 110 0.0 120 0.0 130 0.0 111 1.0 121 0.0 131 0.0 112 0.0 122 1.0 132 0.0 79 0 146 0.0 60 3 61 5 292 1 282 1 141 0.0 142 0.0 63 250 361 6D 0 ENDTAB 0 TABLE 2 LTYPE 5 5 330 0 100 AcDbSymbolTable 70 4 0 LTYPE 5 14 330 5 100 AcDbSymbolTableRecord 100 AcDbLinetypeTableRecord 2 ByBlock 70 0 3 72 65 73 0 40 0.0 0 LTYPE 5 15 330 5 100 AcDbSymbolTableRecord 100 AcDbLinetypeTableRecord 2 ByLayer 70 0 3 72 65 73 0 40 0.0 0 LTYPE 5 16 330 5 100 AcDbSymbolTableRecord 100 AcDbLinetypeTableRecord 2 Continuous 70 0 3 Solid line 72 65 73 0 40 0.0 0 LTYPE 5 71 330 5 100 AcDbSymbolTableRecord 100 AcDbLinetypeTableRecord 2 K5LT32768 70 0 3 72 65 73 0 40 0.0 0 LTYPE 5 E1 330 5 100 AcDbSymbolTableRecord 100 AcDbLinetypeTableRecord 2 K5LT_BASIC 70 0 3 72 65 73 0 40 0.0 0 LTYPE 5 ED 330 5 100 AcDbSymbolTableRecord 100 AcDbLinetypeTableRecord 2 K5LT_AXLED 70 0 3 72 65 73 4 40 19.5 49 15.0 74 0 49 -1.5 74 0 49 1.5 74 0 49 -1.5 74 0 0 ENDTAB 0 TABLE 2 LAYER 5 2 330 0 100 AcDbSymbolTable 70 3 0 LAYER 5 10 330 2 100 AcDbSymbolTableRecord 100 AcDbLayerTableRecord 2 0 70 0 62 7 6 Continuous 370 -3 390 F 347 46 0 LAYER 5 6F 330 2 100 AcDbSymbolTableRecord 100 AcDbLayerTableRecord 2 0 (1) 70 0 62 7 6 Continuous 370 -3 390 F 347 46 0 LAYER 5 70 330 2 100 AcDbSymbolTableRecord 100 AcDbLayerTableRecord 2 layer 1 70 0 62 7 6 Continuous 370 -3 390 F 347 46 0 ENDTAB 0 TABLE 2 STYLE 5 3 330 0 100 AcDbSymbolTable 70 1 0 STYLE 5 11 330 3 100 AcDbSymbolTableRecord 100 AcDbTextStyleTableRecord 2 Standard 70 0 40 0.0 41 1.0 50 0.0 71 0 42 2.5 3 txt 4 0 ENDTAB 0 TABLE 2 VIEW 5 6 330 0 100 AcDbSymbolTable 70 0 0 ENDTAB 0 TABLE 2 UCS 5 7 330 0 100 AcDbSymbolTable 70 0 0 ENDTAB 0 TABLE 2 APPID 5 9 330 0 100 AcDbSymbolTable 70 3 0 APPID 5 12 330 9 100 AcDbSymbolTableRecord 100 AcDbRegAppTableRecord 2 ACAD 70 0 0 APPID 5 6E 330 9 100 AcDbSymbolTableRecord 100 AcDbRegAppTableRecord 2 KOMPAS 70 0 0 APPID 5 137 330 9 100 AcDbSymbolTableRecord 100 AcDbRegAppTableRecord 2 ACAD_MLEADERVER 70 0 0 ENDTAB 0 TABLE 2 DIMSTYLE 5 A 330 0 100 AcDbSymbolTable 70 1 100 AcDbDimStyleTable 0 DIMSTYLE 105 27 330 A 100 AcDbSymbolTableRecord 100 AcDbDimStyleTableRecord 2 ISO-25 70 0 41 2.5 42 0.625 43 3.75 44 1.25 73 0 74 0 77 1 78 8 140 2.5 141 2.5 143 0.03937007874016 147 0.625 171 3 172 1 178 0 271 2 272 2 274 3 278 44 283 0 284 8 340 11 0 ENDTAB 0 TABLE 2 BLOCK_RECORD 5 1 330 0 100 AcDbSymbolTable 70 3 0 BLOCK_RECORD 5 1F 330 1 100 AcDbSymbolTableRecord 100 AcDbBlockTableRecord 2 *Model_Space 340 22 70 0 280 1 281 0 0 BLOCK_RECORD 5 1B 330 1 100 AcDbSymbolTableRecord 100 AcDbBlockTableRecord 2 *Paper_Space 340 1E 70 0 280 1 281 0 0 BLOCK_RECORD 5 23 330 1 100 AcDbSymbolTableRecord 100 AcDbBlockTableRecord 2 *Paper_Space0 340 26 70 0 280 1 281 0 0 BLOCK_RECORD 5 EB 330 1 100 AcDbSymbolTableRecord 100 AcDbBlockTableRecord 2 U0 340 0 102 {BLKREFS 331 EC 102 } 70 0 280 1 281 0 0 BLOCK_RECORD 5 F4 330 1 100 AcDbSymbolTableRecord 100 AcDbBlockTableRecord 2 U1 340 0 102 {BLKREFS 331 F5 102 } 70 0 280 1 281 0 0 ENDTAB 0 ENDSEC 0 SECTION 2 BLOCKS 0 BLOCK 5 20 330 1F 100 AcDbEntity 8 0 100 AcDbBlockBegin 2 *Model_Space 70 0 10 0.0 20 0.0 30 0.0 3 *Model_Space 1 *Model_Space 0 ENDBLK 5 21 330 1F 100 AcDbEntity 8 0 100 AcDbBlockEnd 0 BLOCK 5 1C 330 1B 100 AcDbEntity 67 1 8 0 100 AcDbBlockBegin 2 *Paper_Space 70 0 10 0.0 20 0.0 30 0.0 3 *Paper_Space 1 *Paper_Space 0 ENDBLK 5 1D 330 1B 100 AcDbEntity 67 1 8 0 100 AcDbBlockEnd 0 BLOCK 5 24 330 23 100 AcDbEntity 8 0 100 AcDbBlockBegin 2 *Paper_Space0 70 0 10 0.0 20 0.0 30 0.0 3 *Paper_Space0 1 *Paper_Space0 0 ENDBLK 5 25 330 23 100 AcDbEntity 8 0 100 AcDbBlockEnd 0 BLOCK 5 F2 330 EB 100 AcDbEntity 8 0 100 AcDbBlockBegin 2 U0 70 0 10 0.0 20 0.0 30 0.0 3 U0 1 U0 0 LINE 5 EE 330 EB 100 AcDbEntity 8 layer 1 6 K5LT_AXLED 62 30 420 16744448 370 18 100 AcDbLine 10 201.66831396887 20 38.42525092861821 30 0.0 11 243.3157910843155 21 38.42525092861821 31 0.0 0 LINE 5 EF 330 EB 100 AcDbEntity 8 layer 1 6 K5LT_AXLED 62 30 420 16744448 370 18 100 AcDbLine 10 201.66831396887 20 38.42525092861821 30 0.0 11 160.0208368534245 21 38.42525092861821 31 0.0 0 LINE 5 F0 330 EB 100 AcDbEntity 8 layer 1 6 K5LT_AXLED 62 30 420 16744448 370 18 100 AcDbLine 10 201.66831396887 20 38.42525092861821 30 0.0 11 201.66831396887 21 80.07272804406371 31 0.0 0 LINE 5 F1 330 EB 100 AcDbEntity 8 layer 1 6 K5LT_AXLED 62 30 420 16744448 370 18 100 AcDbLine 10 201.66831396887 20 38.42525092861821 30 0.0 11 201.66831396887 21 -3.222226186827285 31 0.0 0 ENDBLK 5 F3 330 EB 100 AcDbEntity 8 0 100 AcDbBlockEnd 0 BLOCK 5 100 330 F4 100 AcDbEntity 8 0 100 AcDbBlockBegin 2 U1 70 0 10 0.0 20 0.0 30 0.0 3 U1 1 U1 0 LINE 5 F6 330 F4 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbLine 10 25.71803719503424 20 25.97874405041854 30 0.0 11 25.71803719503424 21 69.97874405041854 31 0.0 0 ARC 5 F7 330 F4 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbCircle 10 18.71803719503424 20 69.97874405041854 30 0.0 40 7.0 100 AcDbArc 50 0.0 51 90.0 0 LINE 5 F8 330 F4 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbLine 10 18.71803719503424 20 76.97874405041854 30 0.0 11 -25.28196280496576 21 76.97874405041854 31 0.0 0 ARC 5 F9 330 F4 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbCircle 10 -25.28196280496576 20 69.97874405041854 30 0.0 40 7.0 100 AcDbArc 50 90.0 51 180.0 0 LINE 5 FA 330 F4 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbLine 10 -32.28196280496576 20 69.97874405041854 30 0.0 11 -32.28196280496576 21 25.97874405041854 31 0.0 0 ARC 5 FB 330 F4 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbCircle 10 -25.28196280496576 20 25.97874405041854 30 0.0 40 7.0 100 AcDbArc 50 180.0 51 270.0 0 LINE 5 FC 330 F4 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbLine 10 -25.28196280496576 20 18.97874405041854 30 0.0 11 18.71803719503424 21 18.97874405041854 31 0.0 0 ARC 5 FD 330 F4 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbCircle 10 18.71803719503424 20 25.97874405041854 30 0.0 40 7.0 100 AcDbArc 50 270.0 51 0.0 0 CIRCLE 5 FE 330 F4 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbCircle 10 -3.281962804965758 20 47.97874405041854 30 0.0 40 4.1 0 CIRCLE 5 FF 330 F4 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbCircle 10 -3.281962804965758 20 47.97874405041854 30 0.0 40 0.75 0 ENDBLK 5 101 330 F4 100 AcDbEntity 8 0 100 AcDbBlockEnd 0 ENDSEC 0 SECTION 2 ENTITIES 0 SPLINE 5 72 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 -30.52084807915712 20 82.45017090898878 30 0.0 10 -33.29258073905024 20 82.58145665163838 30 0.0 10 -35.45869527464448 20 82.99696099440577 30 0.0 10 -37.01899124969152 20 83.6963832829184 30 0.0 10 -33.779741040592 20 80.43739010335935 30 0.0 10 -31.33181313985983 20 77.95989785599997 30 0.0 10 -29.67520754749503 20 76.26370610459199 30 0.0 10 -25.1028558508192 20 80.22723269699584 30 0.0 10 -20.04374472509823 20 83.09046450424702 30 0.0 10 -14.49807460658046 20 84.8536019625939 30 0.0 10 -15.65579437687679 20 89.24495972703677 30 0.0 10 -16.53109947329025 20 92.5681927241824 30 0.0 10 -17.1239898958208 20 94.82330095403071 30 0.0 10 -18.04479402060286 20 90.59459720509952 30 0.0 10 -19.85473334293246 20 87.44935159646207 30 0.0 10 -22.55390808093374 20 85.38766434624254 30 0.0 10 -24.71681563655487 20 83.68455754426752 30 0.0 10 -27.37249570867071 20 82.70542647122431 30 0.0 10 -30.52084807915712 20 82.45017090898878 30 0.0 0 SPLINE 5 73 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 -47.07808480787904 20 62.733658034247 30 0.0 10 -47.98986930148672 20 62.44763550789439 30 0.0 10 -48.99866493928128 20 62.21021877175934 30 0.0 10 -50.10457193938687 20 62.02160826209023 30 0.0 10 -46.20748996330113 20 60.81959208091519 30 0.0 10 -42.88425696615552 20 59.92935448400188 30 0.0 10 -40.13487294795009 20 59.3510959075987 30 0.0 10 -37.73815650866366 20 65.67065038087998 30 0.0 10 -34.9194215485395 20 70.62593552997116 30 0.0 10 -31.67846763132928 20 74.21665070049983 30 0.0 10 -34.47715896662146 20 77.1152595055795 30 0.0 10 -36.88059002022658 20 79.63734881819008 30 0.0 10 -38.88856035589633 20 81.7829186383315 30 0.0 10 -37.40733648081152 20 78.04478260716348 30 0.0 10 -37.46666561031424 20 73.97973505498557 30 0.0 10 -39.06664796252863 20 69.58777598179773 30 0.0 10 -41.2930938088672 20 66.09206759297277 30 0.0 10 -43.96360616335872 20 63.80729479837311 30 0.0 10 -47.07808480787904 20 62.733658034247 30 0.0 0 SPLINE 5 74 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 -50.72762801728959 20 36.9192731768659 30 0.0 10 -46.49311161715711 20 37.84849562407742 30 0.0 10 -43.16987862001152 20 38.70896843811518 30 0.0 10 -40.7579290258528 20 39.50069161897916 30 0.0 10 -42.10967108452289 20 46.2848573158662 30 0.0 10 -42.08000651977153 20 52.01162158474108 30 0.0 10 -40.66893533159872 20 56.68068377123134 30 0.0 10 -44.83339926294337 20 57.77145783458877 30 0.0 10 -48.21596138959168 20 58.72102456100477 30 0.0 10 -50.81662171154369 20 59.52908329610685 30 0.0 10 -47.66686628731904 20 56.69170776488893 30 0.0 10 -45.82736261836224 20 53.63555606862974 30 0.0 10 -45.2978100503008 20 50.36052798920508 30 0.0 10 -44.79712030199744 20 46.61988650493309 30 0.0 10 -45.30161833901889 20 43.7120576324307 30 0.0 10 -46.81100350699263 20 41.63704137169789 30 0.0 10 -48.46811018997823 20 39.38654317556093 30 0.0 10 -49.77365169341057 20 37.8139203712422 30 0.0 10 -50.72762801728959 20 36.9192731768659 30 0.0 0 SPLINE 5 75 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 -37.73164233059329 20 20.94109676954046 30 0.0 10 -37.47287913401216 20 19.30663938261501 30 0.0 10 -37.8140216286528 20 17.1554573475206 30 0.0 10 -38.75506981451521 20 14.48755066425725 30 0.0 10 -36.56750860035073 20 16.72111199741117 30 0.0 10 -34.13451320011841 20 19.16903989814333 30 0.0 10 -31.45578295944576 20 21.83143458457789 30 0.0 10 -35.14511276414784 20 25.75657763542845 30 0.0 10 -38.0084447895232 20 30.77099147777405 30 0.0 10 -40.04597947182015 20 36.87487654786301 30 0.0 10 -44.25854810276159 20 35.71465132446269 30 0.0 10 -47.67087501228545 20 34.78001709854652 30 0.0 10 -50.28275976414335 20 34.07087365199037 30 0.0 10 -46.0148707286656 20 33.41735126434301 30 0.0 10 -42.85489305577664 20 31.74090248339452 30 0.0 10 -40.80262630922816 20 29.04152730914493 30 0.0 10 -38.84015500192704 20 26.56764291425533 30 0.0 10 -37.81642686363264 20 23.86756621313661 30 0.0 10 -37.73164233059329 20 20.94109676954046 30 0.0 0 SPLINE 5 76 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 -36.9526468514976 20 12.44019460579261 30 0.0 10 -33.57008472484927 20 15.82275673244093 30 0.0 10 -31.07765997699006 20 18.31518148030013 30 0.0 10 -29.47547282604414 20 19.91746884937021 30 0.0 10 -25.97345069539711 20 16.57840138860732 30 0.0 10 -20.97376891730303 20 13.68540479848061 30 0.0 10 -14.4762270555136 20 11.23847907899005 30 0.0 10 -15.50777220749247 20 7.535519609402208 30 0.0 10 -16.39800980440574 20 4.182622047505249 30 0.0 10 -17.14673941000511 20 1.179686175175016 30 0.0 10 -18.00280262657984 20 5.561723654071004 30 0.0 10 -20.09465553217152 20 9.003614910222053 30 0.0 10 -23.42229812678016 20 11.50546016175229 30 0.0 10 -26.3658046514835 20 13.28292881185405 30 0.0 10 -29.31803015298878 20 13.9504817368838 30 0.0 10 -32.27937550379264 20 13.50831937308989 30 0.0 10 -34.39487988668607 20 13.32912936709181 30 0.0 10 -35.9526704086291 20 12.9731545900755 30 0.0 10 -36.9526468514976 20 12.44019460579261 30 0.0 0 SPLINE 5 77 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 -14.56532096789183 20 0.4231395558911801 30 0.0 10 -13.48887809628927 20 4.515646874088933 30 0.0 10 -12.61357299987584 20 7.898209000737245 30 0.0 10 -11.93940567865151 20 10.5709261539603 30 0.0 10 -6.216549916618872 20 9.353877254161244 30 0.0 10 -0.4156242358655895 20 9.324212689409892 30 0.0 10 5.463170927360009 20 10.48183224158205 30 0.0 10 6.486197538785289 20 6.256335472623965 30 0.0 10 7.361402417074567 20 2.947934757854056 30 0.0 10 8.089186434724493 20 0.556630097272298 30 0.0 10 4.968394048382084 20 3.862224704565728 30 0.0 10 1.971471263501446 20 5.716761092146529 30 0.0 10 -0.9013814836691103 20 6.120038823766373 30 0.0 10 -4.79946564099647 20 6.468196587098209 30 0.0 10 -8.004040379136637 20 5.800643662068447 30 0.0 10 -10.5151056980896 20 4.117279830552922 30 0.0 10 -12.38637851240512 20 2.879385560928618 30 0.0 10 -13.73641686296446 20 1.648005469374683 30 0.0 10 -14.56532096789183 20 0.4231395558911801 30 0.0 0 SPLINE 5 78 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 8.089186434724493 20 11.23817842461757 30 0.0 10 14.51857997208513 20 13.45800987476157 30 0.0 10 19.47376490305217 20 16.35100646488829 30 0.0 10 22.95464100950145 20 19.91716819499773 30 0.0 10 26.10980821243074 20 16.78174396252796 30 0.0 10 28.57246817741441 20 14.30415149704445 30 0.0 10 30.34302177694914 20 12.484290580423 30 0.0 10 27.02540099475649 20 13.78231572454333 30 0.0 10 23.74656462661378 20 14.01963224255421 30 0.0 10 20.50671310876929 20 13.19654078882813 30 0.0 10 17.41448288781249 20 12.11047697730621 30 0.0 10 15.12971009321281 20 10.47852504348477 30 0.0 10 13.65249494309441 20 8.300684987363807 30 0.0 10 12.06273483954433 20 5.942352089630685 30 0.0 10 11.06877148412545 20 3.583417883152618 30 0.0 10 10.67050465871361 20 1.223882367929582 30 0.0 10 9.597268767084172 20 5.102123336673248 30 0.0 10 8.736795953046409 20 8.440188616194527 30 0.0 10 8.089186434724493 20 11.23817842461757 30 0.0 0 SPLINE 5 79 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 24.91300337371201 20 21.83123414832957 30 0.0 10 29.08698802685185 20 26.39476665007934 30 0.0 10 31.97998461697857 20 31.42411299292478 30 0.0 10 33.59209336221633 20 36.9192731768659 30 0.0 10 37.18381071398657 20 35.94545366440317 30 0.0 10 40.53680849400769 20 35.02575193898686 30 0.0 10 43.65088626603138 20 34.15986734624445 30 0.0 10 38.97450815647746 20 33.20338556926141 30 0.0 10 35.60667809408066 20 31.17086179317245 30 0.0 10 33.54749629696514 20 28.06219579985341 30 0.0 10 31.74306897146433 20 25.62980170836606 30 0.0 10 31.00135463455618 20 22.69220805298812 30 0.0 10 31.32225306811649 20 19.24971548809213 30 0.0 10 31.5717961972749 20 17.05824576708541 30 0.0 10 31.9130389100397 20 15.45605861613949 30 0.0 10 32.34588098828672 20 14.44285338088189 30 0.0 10 28.8989788259277 20 17.85016938419774 30 0.0 10 26.42148657856832 20 20.31302978542974 30 0.0 10 24.91300337371201 20 21.83123414832957 30 0.0 0 SPLINE 5 7A 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 34.30414313437313 20 39.41169792472508 30 0.0 10 35.3134398627885 20 45.34741698247358 30 0.0 10 35.3134398627885 20 51.08901353372413 30 0.0 10 34.30414313437313 20 56.63608670598015 30 0.0 10 37.75906274666495 20 57.73417669240126 30 0.0 10 41.14162487331329 20 58.68364320069311 30 0.0 10 44.45182951431809 20 59.48468666710397 30 0.0 10 40.56316586066178 20 56.07215932133182 30 0.0 10 38.60480349645121 20 52.24442828716477 30 0.0 10 38.57684263981058 20 48.00159378272701 30 0.0 10 38.70221551313473 20 44.83239604241534 30 0.0 10 39.53302376242114 20 42.28064216505341 30 0.0 10 41.06926738766978 20 40.34633215064125 30 0.0 10 42.00029376111618 20 39.00040274317244 30 0.0 10 43.08335102891328 20 37.79858699824572 30 0.0 10 44.31833897293697 20 36.74118557023357 30 0.0 10 38.84823332003586 20 38.12750288173886 30 0.0 10 35.51016804051459 20 39.01764026052798 30 0.0 10 34.30414313437313 20 39.41169792472508 30 0.0 0 SPLINE 5 7B 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 33.72538346734914 20 59.35139656197119 30 0.0 10 31.63904255858624 20 65.30264942896446 30 0.0 10 28.68671683895682 20 70.31716348943421 30 0.0 10 24.86830609033665 20 74.39493874338045 30 0.0 10 27.67240920433345 20 77.1395122916262 30 0.0 10 30.14990145169281 20 79.61720497523389 30 0.0 10 32.30108348678721 20 81.82771613983103 30 0.0 10 31.02089716876737 20 78.26486160781886 30 0.0 10 30.78358065075649 20 75.04555480542719 30 0.0 10 31.58893349650625 20 72.16959529640768 30 0.0 10 32.62579020906561 20 68.98716876370686 30 0.0 10 34.28740670763843 20 66.56890542772607 30 0.0 10 36.57378299222465 20 64.91480528846526 30 0.0 10 38.85374531686465 20 63.43708904772606 30 0.0 10 41.19784724096705 20 62.47279025705855 30 0.0 10 43.60608876453186 20 62.02190891646269 30 0.0 10 39.41366397654657 20 60.95568829352445 30 0.0 10 36.12019576227651 20 60.06555091473534 30 0.0 10 33.72538346734914 20 59.35139656197119 30 0.0 0 SPLINE 5 7C 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 22.99923807475265 20 76.3310526840275 30 0.0 10 18.25140444267265 20 80.47106339307709 30 0.0 10 13.29611929358145 20 83.34922770082812 30 0.0 10 8.133583063727365 20 84.96554560728063 30 0.0 10 9.02782938560705 20 88.77844435907198 30 0.0 10 9.917866546272007 20 92.13134192096894 30 0.0 10 10.80409541821889 20 95.02433851109566 30 0.0 10 11.65885579917953 20 90.58206993957953 30 0.0 10 13.55788903388737 20 87.25883694243392 30 0.0 10 16.50099468609409 20 85.05463951965888 30 0.0 10 19.12600801221697 20 83.07583265811967 30 0.0 10 22.27115340273024 20 82.27468897358463 30 0.0 10 25.93663129388225 20 82.65110824792959 30 0.0 10 27.52348507183169 20 82.64740017733567 30 0.0 10 29.00711418189632 20 83.00347517247614 30 0.0 10 30.387418405952 20 83.71943345147518 30 0.0 10 27.73193877008449 20 81.00422381360832 30 0.0 10 25.26907836885249 20 78.54156384862462 30 0.0 10 22.99923807475265 20 76.3310526840275 30 0.0 0 SPLINE 5 7D 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 8 73 4 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 1.0 40 1.0 40 1.0 40 1.0 10 -8.329147973911677 20 -18.77594714381251 30 0.0 10 -8.66748436107584 20 -16.48375820802499 30 0.0 10 -8.741745991078389 20 -14.51066377956291 30 0.0 10 -8.551732427671032 20 -12.85646342217795 30 0.0 0 SPLINE 5 7E 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 14 73 10 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.25 40 0.25 40 0.25 40 0.5 40 0.5 40 0.5 40 1.0 40 1.0 40 1.0 40 1.0 10 52.37417244729025 20 10.48143136908541 30 0.0 10 48.51687706649601 20 10.36086896572093 30 0.0 10 45.57948384736642 20 10.27187527146685 30 0.0 10 43.56169213552897 20 10.21445028632317 30 0.0 10 46.72317308028033 20 15.69978909409661 30 0.0 10 49.72009586516097 20 20.71430315456637 30 0.0 10 52.55226005392257 20 25.25799246773246 30 0.0 10 52.7430753623232 20 19.44002970587196 30 0.0 10 52.68374623282048 20 14.51450933965629 30 0.0 10 52.37417244729025 20 10.48143136908541 30 0.0 0 SPLINE 5 7F 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -11.88829443532992 20 85.43857515331581 30 0.0 10 -12.60144660685247 20 88.85440969718526 30 0.0 10 -13.55091311514431 20 92.20730725908224 30 0.0 10 -14.73679417832959 20 95.49726783900671 30 0.0 10 -11.02942511127871 20 91.65119688811838 30 0.0 10 -6.964277340976638 20 89.75216365341056 30 0.0 10 -2.541651521795836 20 89.80026835300735 30 0.0 10 2.168600313724171 20 90.54909817673087 30 0.0 10 5.69948526412929 20 92.41846684668737 30 0.0 10 8.051103547543692 20 95.40827414475264 30 0.0 10 7.008133529410571 20 91.55949730451199 30 0.0 10 6.17722506200001 20 88.26592887211774 30 0.0 10 5.558678799684488 20 85.52756884756991 30 0.0 10 -1.103020349479033 20 86.87379890941119 30 0.0 10 -6.918678094483839 20 86.84413434465982 30 0.0 10 -11.88829443532992 20 85.43857515331581 30 0.0 0 SPLINE 5 80 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -22.48175103153856 20 88.10948838030399 30 0.0 10 -23.90254337775486 20 88.0455492170899 30 0.0 10 -24.85200988604671 20 88.25330138847359 30 0.0 10 -25.33025077453822 20 88.73264467633086 30 0.0 10 -25.49140151818751 20 103.6889970897209 30 0.0 10 -25.49140151818751 20 111.9377504530822 30 0.0 10 -25.33025077453822 20 113.4788045482906 30 0.0 10 -22.34224740270782 20 110.5133502543962 30 0.0 10 -20.35432069187007 20 106.7451487859801 30 0.0 10 -19.36627020577664 20 102.1738994886701 30 0.0 10 -18.68037736402559 20 99.01552530576768 30 0.0 10 -18.76947127640384 20 95.98903817425983 30 0.0 10 -19.63325128853887 20 93.09433787602238 30 0.0 10 -20.21952731487486 20 91.2079321249587 30 0.0 10 -21.16899382316671 20 89.54631562638592 30 0.0 10 -22.48175103153856 20 88.10948838030399 30 0.0 0 SPLINE 5 81 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 68 73 64 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.0454545454545455 40 0.0454545454545455 40 0.0454545454545455 40 0.0909090909090909 40 0.0909090909090909 40 0.0909090909090909 40 0.1363636363636364 40 0.1363636363636364 40 0.1363636363636364 40 0.1818181818181818 40 0.1818181818181818 40 0.1818181818181818 40 0.2272727272727273 40 0.2272727272727273 40 0.2272727272727273 40 0.2727272727272727 40 0.2727272727272727 40 0.2727272727272727 40 0.3181818181818182 40 0.3181818181818182 40 0.3181818181818182 40 0.3636363636363636 40 0.3636363636363636 40 0.3636363636363636 40 0.4090909090909091 40 0.4090909090909091 40 0.4090909090909091 40 0.4545454545454545 40 0.4545454545454545 40 0.4545454545454545 40 0.5 40 0.5 40 0.5 40 0.5454545454545454 40 0.5454545454545454 40 0.5454545454545454 40 0.5909090909090909 40 0.5909090909090909 40 0.5909090909090909 40 0.6363636363636364 40 0.6363636363636364 40 0.6363636363636364 40 0.6818181818181818 40 0.6818181818181818 40 0.6818181818181818 40 0.7272727272727273 40 0.7272727272727273 40 0.7272727272727273 40 0.7727272727272727 40 0.7727272727272727 40 0.7727272727272727 40 0.8181818181818182 40 0.8181818181818182 40 0.8181818181818182 40 0.8636363636363636 40 0.8636363636363636 40 0.8636363636363636 40 0.9090909090909091 40 0.9090909090909091 40 0.9090909090909091 40 1.0 40 1.0 40 1.0 40 1.0 10 -46.51576091321728 20 93.9844752548115 30 0.0 10 -44.64959922323391 20 91.40425943018813 30 0.0 10 -42.7805312076499 20 89.43116500172606 30 0.0 10 -40.90855686646528 20 88.06519196942526 30 0.0 10 -40.90855686646528 20 98.03489096086206 30 0.0 10 -40.90855686646528 20 105.6010586805696 30 0.0 10 -40.90855686646528 20 110.7639957829203 30 0.0 10 -40.87207746927103 20 111.0990249719872 30 0.0 10 -40.97590344590081 20 111.2176832309926 30 0.0 10 -41.22013501447871 20 111.1200707780608 30 0.0 10 -42.9119171684237 20 110.8259305836512 30 0.0 10 -44.12836475947776 20 110.6107622710797 30 0.0 10 -44.86977844201344 20 110.4747662765946 30 0.0 10 -45.05738677044096 20 111.1377091679129 30 0.0 10 -45.16121274707072 20 111.6346908456224 30 0.0 10 -45.18125637190272 20 111.965811527847 30 0.0 10 -42.94178216942336 20 113.2428910840179 30 0.0 10 -40.9463390992736 20 114.3778613401299 30 0.0 10 -39.19492716145344 20 115.3706220780589 30 0.0 10 -38.70516118868352 20 115.4003868609344 30 0.0 10 -38.28244114097664 20 115.4003868609344 30 0.0 10 -37.92656658208449 20 115.3706220780589 30 0.0 10 -37.83466656222977 20 98.47234307282048 30 0.0 10 -37.82725042104192 20 88.77714152345791 30 0.0 10 -37.90411772227263 20 86.28481699372287 30 0.0 10 -35.36128325796096 20 84.7789394600947 30 0.0 10 -32.72043546822078 20 84.17071566456767 30 0.0 10 -29.98167457117633 20 84.4600453890176 30 0.0 10 -27.53394710669246 20 84.72462123679998 30 0.0 10 -25.62008158960896 20 85.4071066623296 30 0.0 10 -24.2401782380499 20 86.50740144748224 30 0.0 10 -28.75029447962239 20 86.54217713656574 30 0.0 10 -31.27248401035712 20 86.55700941894142 30 0.0 10 -31.80654639400574 20 86.55189829460927 30 0.0 10 -31.90796713565567 20 87.23408306576638 30 0.0 10 -31.92279941803136 20 87.76824566753919 30 0.0 10 -31.8510432411328 20 88.15418566367937 30 0.0 10 -30.42503955246016 20 88.22223376998399 30 0.0 10 -29.26782087278463 20 88.31122746423806 30 0.0 10 -28.37938720210622 20 88.42126696456575 30 0.0 10 -28.41506485430719 20 102.0108446006618 30 0.0 10 -28.42989713668288 20 109.9330873155098 30 0.0 10 -28.42378383110911 20 112.1881955453581 30 0.0 10 -29.9721538493811 20 112.0474892990374 30 0.0 10 -31.24813100618623 20 111.8695019105293 30 0.0 10 -32.25151486527616 20 111.6541331617094 30 0.0 10 -32.60648746105088 20 112.3546578495878 30 0.0 10 -32.75481028480769 20 112.770061974231 30 0.0 10 -32.69658355467071 20 112.900345535639 30 0.0 10 -30.73782031796352 20 113.9965313777011 30 0.0 10 -29.0095587668243 20 114.9015010388659 30 0.0 10 -27.51149824688064 20 115.6155551735059 30 0.0 10 -30.23011530096895 20 117.8860969944749 30 0.0 10 -33.0636825434688 20 119.1768062155315 30 0.0 10 -36.01230019250433 20 119.4874824004275 30 0.0 10 -40.10530860132286 20 119.8555835704672 30 0.0 10 -43.35438018659008 20 118.8911845616755 30 0.0 10 -45.75941473018177 20 116.5943855921766 30 0.0 10 -49.55467509212096 20 112.1464045875834 30 0.0 10 -50.87494865980482 20 107.6956174755136 30 0.0 10 -49.72043586948158 20 103.2420242559674 30 0.0 10 -49.08104423734081 20 99.77116996193406 30 0.0 10 -48.01281925191935 20 96.68535370092353 30 0.0 10 -46.51576091321728 20 93.9844752548115 30 0.0 0 SPLINE 5 82 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -42.15466902227072 20 68.21478768080574 30 0.0 10 -43.25305966306432 20 67.02750356388223 30 0.0 10 -44.52893660174527 20 66.07803705559037 30 0.0 10 -45.98240005643775 20 65.36628793780606 30 0.0 10 -43.53958328003776 20 68.84525989989629 30 0.0 10 -42.32303547085952 20 73.05863027583102 30 0.0 10 -42.33275662890303 20 78.00639906561022 30 0.0 10 -42.45522317662657 20 80.68202254443392 30 0.0 10 -42.66287512988607 20 83.0556888151635 30 0.0 10 -42.95591292492993 20 85.12769853217151 30 0.0 10 -40.69779815135679 20 82.23881088513532 30 0.0 10 -39.59990860118398 20 78.85624875848701 30 0.0 10 -39.66234449253567 20 74.97991193410239 30 0.0 10 -39.6730678318208 20 72.45822349398846 30 0.0 10 -40.50377586298305 20 70.20311526414015 30 0.0 10 -42.15466902227072 20 68.21478768080574 30 0.0 0 SPLINE 5 83 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 26 73 22 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.125 40 0.125 40 0.125 40 0.25 40 0.25 40 0.25 40 0.375 40 0.375 40 0.375 40 0.5 40 0.5 40 0.5 40 0.625 40 0.625 40 0.625 40 0.75 40 0.75 40 0.75 40 1.0 40 1.0 40 1.0 40 1.0 10 -47.94076242064833 20 66.34541901084927 30 0.0 10 -48.74260763205248 20 65.33481944681982 30 0.0 10 -49.48432196896063 20 65.0084090164307 30 0.0 10 -50.16600564949697 20 65.36628793780606 30 0.0 10 -52.84032629270655 20 65.72887711101693 30 0.0 10 -54.35351974939842 20 68.7256996777734 30 0.0 10 -54.70588667394496 20 74.35675563807553 30 0.0 10 -55.19825831794303 20 79.5490566508051 30 0.0 10 -55.02017071131073 20 83.43611681447487 30 0.0 10 -54.17182429029634 20 86.01773569283648 30 0.0 10 -53.51509492267584 20 89.05695052611263 30 0.0 10 -52.35797646112448 20 90.68890245993408 30 0.0 10 -50.70016825126976 20 90.9135914943008 30 0.0 10 -48.25284165928255 20 90.6517215358707 30 0.0 10 -46.82854167872065 20 88.57470091265469 30 0.0 10 -46.42746874583233 20 84.6825296246528 30 0.0 10 -45.61530106763969 20 79.6412573250323 30 0.0 10 -45.49664280863423 20 75.57620977285438 30 0.0 10 -46.07139375069185 20 72.48748718624319 30 0.0 10 -46.46234465304001 20 69.02525165088765 30 0.0 10 -47.08550094906687 20 66.97789559242301 30 0.0 10 -47.94076242064833 20 66.34541901084927 30 0.0 0 SPLINE 5 84 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 53 73 49 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.0588235294117647 40 0.0588235294117647 40 0.0588235294117647 40 0.1176470588235294 40 0.1176470588235294 40 0.1176470588235294 40 0.1764705882352941 40 0.1764705882352941 40 0.1764705882352941 40 0.2352941176470588 40 0.2352941176470588 40 0.2352941176470588 40 0.2941176470588235 40 0.2941176470588235 40 0.2941176470588235 40 0.3529411764705883 40 0.3529411764705883 40 0.3529411764705883 40 0.4117647058823529 40 0.4117647058823529 40 0.4117647058823529 40 0.4705882352941176 40 0.4705882352941176 40 0.4705882352941176 40 0.5294117647058824 40 0.5294117647058824 40 0.5294117647058824 40 0.5882352941176471 40 0.5882352941176471 40 0.5882352941176471 40 0.6470588235294118 40 0.6470588235294118 40 0.6470588235294118 40 0.7058823529411765 40 0.7058823529411765 40 0.7058823529411765 40 0.7647058823529411 40 0.7647058823529411 40 0.7647058823529411 40 0.8235294117647058 40 0.8235294117647058 40 0.8235294117647058 40 0.8823529411764706 40 0.8823529411764706 40 0.8823529411764706 40 1.0 40 1.0 40 1.0 40 1.0 10 -70.99564122928386 20 72.309499797735 30 0.0 10 -69.18940997754817 20 70.25041821874366 30 0.0 10 -67.40923543809409 20 68.58880172017088 30 0.0 10 -65.65511761092162 20 67.32455008389246 30 0.0 10 -65.65511761092162 20 79.01519470340479 30 0.0 10 -65.65511761092162 20 85.86941286907967 30 0.0 10 -65.65511761092162 20 87.88710436279297 30 0.0 10 -67.5961422396525 20 87.71743507859009 30 0.0 10 -68.9313483078362 20 87.50968290720638 30 0.0 10 -69.66083603359681 20 87.26394806676606 30 0.0 10 -69.80404773302145 20 87.91797154503423 30 0.0 10 -69.92280621015107 20 88.42236936393151 30 0.0 10 -70.0169110287373 20 88.77724174158206 30 0.0 10 -67.47668223565377 20 89.98847799017983 30 0.0 10 -65.47382302431618 20 91.01210591035007 30 0.0 10 -64.0083333947245 20 91.84822572021694 30 0.0 10 -63.47256730296515 20 91.96808659671231 30 0.0 10 -63.02759883169473 20 91.96808659671231 30 0.0 10 -62.67312732654079 20 91.84822572021694 30 0.0 10 -62.71531915681219 20 81.96752042303422 30 0.0 10 -62.7300512210637 20 73.34796000028092 30 0.0 10 -62.71732351929535 20 65.98934401570878 30 0.0 10 -58.89059466636991 20 64.15755714231231 30 0.0 10 -55.62669079872704 20 63.41574258727996 30 0.0 10 -52.92561191636672 20 63.76400056873598 30 0.0 10 -56.31599105669952 20 64.88093156249919 30 0.0 10 -58.21492407328319 20 68.85688520229886 30 0.0 10 -58.62251118424192 20 75.69196170625916 30 0.0 10 -58.64275524532223 20 80.26832212790144 30 0.0 10 -57.989934384544 20 84.24417554957695 30 0.0 10 -56.66414882003137 20 87.62002306190655 30 0.0 10 -55.57588020977791 20 90.20575088335872 30 0.0 10 -54.09235131783745 20 91.83770281718014 30 0.0 10 -52.21346192608577 20 92.51587886337087 30 0.0 10 -54.24799006465794 20 93.77341588533055 30 0.0 10 -57.33380632566848 20 94.51533065848703 30 0.0 10 -61.47111114536578 20 94.74132252846783 30 0.0 10 -63.56095968847426 20 94.74082143784702 30 0.0 10 -65.37340446390785 20 94.44317360909183 30 0.0 10 -66.90824503541826 20 93.84837904220223 30 0.0 10 -69.45378538908227 20 92.86203226421951 30 0.0 10 -72.45191079145283 20 90.13800343142655 30 0.0 10 -73.67938237616451 20 87.72675536413696 30 0.0 10 -74.12104364933762 20 86.85926728140798 30 0.0 10 -74.47270904701506 20 85.90378768566656 30 0.0 10 -74.73427835107266 20 84.86061723128512 30 0.0 10 -75.1771422417357 20 80.54041433499583 30 0.0 10 -73.93092986780611 20 76.3566083056883 30 0.0 10 -70.99564122928386 20 72.309499797735 30 0.0 0 SPLINE 5 85 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -52.65943257859774 20 37.593941588711 30 0.0 10 -58.73996682575743 20 34.40359782420157 30 0.0 10 -63.30941219683264 20 33.15738545027199 30 0.0 10 -66.36776869182339 20 33.85520424879806 30 0.0 10 -59.95190460122433 20 36.4008448205862 30 0.0 10 -56.3022611736896 20 41.68244018194237 30 0.0 10 -55.41893862734338 20 49.69999033286655 30 0.0 10 -54.88828365991615 20 55.18843590248893 30 0.0 10 -55.95640842721346 20 59.07549606615869 30 0.0 10 -58.62351336548352 20 61.36097038762749 30 0.0 10 -53.62433267801023 20 59.65726227690749 30 0.0 10 -50.15277685710785 20 56.95718557578877 30 0.0 10 -48.20874568465216 20 53.26064006614716 30 0.0 10 -45.48070812689279 20 47.81318370930622 30 0.0 10 -46.96423701883329 20 42.59091747745278 30 0.0 10 -52.65943257859774 20 37.593941588711 30 0.0 0 SPLINE 5 86 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 44 73 40 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.0714285714285714 40 0.0714285714285714 40 0.0714285714285714 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2142857142857143 40 0.2142857142857143 40 0.2142857142857143 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.3571428571428572 40 0.3571428571428572 40 0.3571428571428572 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5 40 0.5 40 0.5 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.6428571428571429 40 0.6428571428571429 40 0.6428571428571429 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 0.7857142857142857 40 0.7857142857142857 40 0.7857142857142857 40 0.8571428571428571 40 0.8571428571428571 40 0.8571428571428571 40 1.0 40 1.0 40 1.0 40 1.0 10 -68.3487803520941 20 46.0282989180166 30 0.0 10 -65.49857690098369 20 45.00376903472893 30 0.0 10 -62.4424252047245 20 45.41917315937214 30 0.0 10 -59.18012482706817 20 47.27451129194623 30 0.0 10 -59.68271871973056 20 42.19996657510461 30 0.0 10 -62.20480803234113 20 38.2832418466835 30 0.0 10 -66.746492983024 20 35.52453754293116 30 0.0 10 -68.77089909105601 20 34.40359782420157 30 0.0 10 -70.13576972399106 20 34.07087365199037 30 0.0 10 -70.84110488182915 20 34.27832516900156 30 0.0 10 -76.72130309879297 20 35.92320524083966 30 0.0 10 -80.3709465263277 20 38.23764260019068 30 0.0 10 -81.79003516443328 20 41.22153702893054 30 0.0 10 -83.48582604334466 20 43.88403193348927 30 0.0 10 -84.31763647387264 20 46.33005568986236 30 0.0 10 -84.28576711038977 20 48.5593076436774 30 0.0 10 -84.25419840127938 20 50.76741357329469 30 0.0 10 -83.32327224595714 20 52.33713005201278 30 0.0 10 -82.26657234481411 20 54.16921757978174 30 0.0 10 -81.12639074624576 20 56.14591986071358 30 0.0 10 -79.73305816604929 20 57.71112652384446 30 0.0 10 -78.0864743861005 20 58.86443669667774 30 0.0 10 -77.70263897056768 20 59.13332192379902 30 0.0 10 -77.3017664739277 20 59.36933560619583 30 0.0 10 -76.89407914484481 20 59.59111831496189 30 0.0 10 -75.44031503577983 20 60.38203975083263 30 0.0 10 -73.85827172779011 20 60.88874258658558 30 0.0 10 -72.276027983552 20 61.40797268785853 30 0.0 10 -71.64104594887425 20 61.61632616798718 30 0.0 10 -71.08393339666881 20 61.80283209704895 30 0.0 10 -70.59396698765057 20 61.93441849407101 30 0.0 10 -69.81557281729985 20 62.14337328294462 30 0.0 10 -69.21546668982978 20 62.24168726274557 30 0.0 10 -68.79384904148867 20 62.22905977910142 30 0.0 10 -71.65176928815939 20 60.47253671694911 30 0.0 10 -73.04640470396996 20 57.08987437217662 30 0.0 10 -72.97755485267203 20 52.08137339915646 30 0.0 10 -72.29737444399811 20 48.98633707072318 30 0.0 10 -70.75441620443073 20 46.96864557700989 30 0.0 10 -68.3487803520941 20 46.0282989180166 30 0.0 0 SPLINE 5 87 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 17 73 13 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.2 40 0.2 40 0.2 40 0.4 40 0.4 40 0.4 40 0.6 40 0.6 40 0.6 40 1.0 40 1.0 40 1.0 40 1.0 10 -61.58355588067327 20 60.09271002638268 30 0.0 10 -59.52858324477246 20 57.94052581004671 30 0.0 10 -58.69767477736193 20 54.29088238251198 30 0.0 10 -59.09113113281407 20 49.1438799619027 30 0.0 10 -63.73674227825089 20 47.35218033817021 30 0.0 10 -66.82265875738561 20 47.88624272181887 30 0.0 10 -68.3487803520941 20 50.74616733097277 30 0.0 10 -69.74271424103554 20 53.83468948133566 30 0.0 10 -69.7723788057869 20 56.74251835383807 30 0.0 10 -68.43777404634818 20 59.46965394847996 30 0.0 10 -66.35143313758529 20 62.22725585286655 30 0.0 10 -64.06676056110977 20 62.43490780612608 30 0.0 10 -61.58355588067327 20 60.09271002638268 30 0.0 0 SPLINE 5 88 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 35 73 31 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.0909090909090909 40 0.0909090909090909 40 0.0909090909090909 40 0.1818181818181818 40 0.1818181818181818 40 0.1818181818181818 40 0.2727272727272727 40 0.2727272727272727 40 0.2727272727272727 40 0.3636363636363636 40 0.3636363636363636 40 0.3636363636363636 40 0.4545454545454545 40 0.4545454545454545 40 0.4545454545454545 40 0.5454545454545454 40 0.5454545454545454 40 0.5454545454545454 40 0.6363636363636364 40 0.6363636363636364 40 0.6363636363636364 40 0.7272727272727273 40 0.7272727272727273 40 0.7272727272727273 40 0.8181818181818182 40 0.8181818181818182 40 0.8181818181818182 40 1.0 40 1.0 40 1.0 40 1.0 10 -70.52942651569155 20 24.35292258844349 30 0.0 10 -67.43890000284546 20 27.61702689233469 30 0.0 10 -64.82781699598081 20 29.51606012704252 30 0.0 10 -62.6961774950976 20 30.04992207444285 30 0.0 10 -65.04068029169666 20 26.89625814337597 30 0.0 10 -65.18900311545345 20 23.63235427573309 30 0.0 10 -63.14124618449219 20 20.25831068963837 30 0.0 10 -61.2836030350624 20 18.54267662214333 30 0.0 10 -60.15614913826241 20 17.59310989572733 30 0.0 10 -59.75868405784384 20 17.40981094663869 30 0.0 10 -62.87566815546816 20 15.75621189799869 30 0.0 10 -64.65293636932161 20 13.52655907168702 30 0.0 10 -65.0901880450317 20 10.72065203145533 30 0.0 10 -65.58316099777473 20 8.758180724154203 30 0.0 10 -65.50168366283268 20 7.041344039169244 30 0.0 10 -64.8461569127021 20 5.569941540252131 30 0.0 10 -64.0000152904192 20 3.671108741792612 30 0.0 10 -62.79900129048578 20 3.038030851473884 30 0.0 10 -60.99627767309569 20 2.1846735242515 30 0.0 10 -59.9707456085664 20 1.699317148944619 30 0.0 10 -59.17240803150786 20 1.463002812175336 30 0.0 10 -58.60146537816831 20 1.476031168316138 30 0.0 10 -62.99071856200385 20 0.9865658499186907 30 0.0 10 -66.96667220180353 20 1.936032358210532 30 0.0 10 -70.52942651569155 20 4.324530911315811 30 0.0 10 -73.95969246944003 20 7.267836999770848 30 0.0 10 -75.44322136138051 20 10.79882216830013 30 0.0 10 -74.98031384588545 20 14.9173861987795 30 0.0 10 -74.03595846192577 20 19.10600269804669 30 0.0 10 -72.55232935186115 20 22.25114808855997 30 0.0 10 -70.52942651569155 20 24.35292258844349 30 0.0 0 SPLINE 5 89 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -61.80604011630848 20 10.28861169820157 30 0.0 10 -61.63887628520961 20 13.00081479234364 30 0.0 10 -60.39266391128001 20 15.01840606793277 30 0.0 10 -58.06740299451968 20 16.34158596121725 30 0.0 10 -55.65014183978049 20 15.59005024814141 30 0.0 10 -53.66211491081857 20 14.19551505045501 30 0.0 10 -52.10342242575808 20 12.15788015003389 30 0.0 10 -50.97787267331711 20 9.953382072886374 30 0.0 10 -51.03720180281985 20 7.668709496410848 30 0.0 10 -52.28130959614207 20 5.303762202483171 30 0.0 10 -54.19697903946047 20 3.036427361487331 30 0.0 10 -56.51131618068737 20 2.68035236634685 30 0.0 10 -59.22452145607105 20 4.23553721706173 30 0.0 10 -61.12165054641986 20 5.724177233334373 30 0.0 10 -61.98222357858175 20 7.741868727047642 30 0.0 10 -61.80604011630848 20 10.28861169820157 30 0.0 0 SPLINE 5 8A 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 26 73 22 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.125 40 0.125 40 0.125 40 0.25 40 0.25 40 0.25 40 0.375 40 0.375 40 0.375 40 0.5 40 0.5 40 0.5 40 0.625 40 0.625 40 0.625 40 0.75 40 0.75 40 0.75 40 1.0 40 1.0 40 1.0 40 1.0 10 -58.55706874916544 20 31.07385064898557 30 0.0 10 -58.01168171748671 20 31.35746794035838 30 0.0 10 -57.35886085670849 20 31.50589098223934 30 0.0 10 -56.59880660307904 20 31.51901955650429 30 0.0 10 -53.67985351879489 20 31.13899242968957 30 0.0 10 -52.13689527922752 20 29.55143712487101 30 0.0 10 -51.97003210250111 20 26.75655407829694 30 0.0 10 -51.64181774587711 20 24.11249930858365 30 0.0 10 -52.41339708378496 20 21.97614955586492 30 0.0 10 -54.28436924372801 20 20.34750482014077 30 0.0 10 -54.83085867477247 20 19.87688050908541 30 0.0 10 -55.21659823466432 20 19.59496692582332 30 0.0 10 -55.44158792340352 20 19.50196450660285 30 0.0 10 -57.4923513980896 20 20.41114332898237 30 0.0 10 -58.70889920726786 20 21.15285766589053 30 0.0 10 -59.09123135093824 20 21.7272077354515 30 0.0 10 -60.66716135335425 20 22.88853535821756 30 0.0 10 -61.34964677888387 20 24.60948098629309 30 0.0 10 -61.1385874094029 20 26.89014483780221 30 0.0 10 -60.74633367144065 20 29.28465647835709 30 0.0 10 -59.88586085740289 20 30.67939211229181 30 0.0 10 -58.55706874916544 20 31.07385064898557 30 0.0 0 SPLINE 5 8B 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 26 73 22 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.125 40 0.125 40 0.125 40 0.25 40 0.25 40 0.25 40 0.375 40 0.375 40 0.375 40 0.5 40 0.5 40 0.5 40 0.625 40 0.625 40 0.625 40 0.75 40 0.75 40 0.75 40 1.0 40 1.0 40 1.0 40 1.0 10 -48.85445105861504 20 24.30872639568893 30 0.0 10 -47.71056138945279 20 27.59878719373758 30 0.0 10 -48.71935702724738 20 30.23953476535358 30 0.0 10 -51.88083797199872 20 32.23096911053693 30 0.0 10 -45.5932530803245 20 31.66152972905981 30 0.0 10 -41.61739965864898 20 28.57561324992509 30 0.0 10 -39.95287683447552 20 22.97342010938109 30 0.0 10 -38.96081762341568 20 18.10201753021181 30 0.0 10 -40.266359126848 20 13.56223672388797 30 0.0 10 -43.86950134477247 20 9.354077690409568 30 0.0 10 -46.37084550568191 20 6.950245764307807 30 0.0 10 -48.38853699939521 20 5.377622959989086 30 0.0 10 -49.92257582591232 20 4.636309495577568 30 0.0 10 -46.64303793090049 20 9.257667854967645 30 0.0 10 -47.20676487930048 20 13.53036736040509 30 0.0 10 -51.61385688923649 20 17.45440801188989 30 0.0 10 -52.61854358394046 20 17.99668828171965 30 0.0 10 -53.36025792084865 20 18.38242784161149 30 0.0 10 -53.83920033620929 20 18.61162669156541 30 0.0 10 -50.98348488827007 20 20.74998080676733 30 0.0 10 -49.32186838969729 20 22.64901404147517 30 0.0 10 -48.85445105861504 20 24.30872639568893 30 0.0 0 SPLINE 5 8C 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -61.85053696343552 20 -21.62344470557059 30 0.0 10 -62.05949175230913 20 -16.9920645337645 30 0.0 10 -61.16935437352002 20 -12.74902959307843 30 0.0 10 -59.18012482706817 20 -8.894339883512352 30 0.0 10 -62.61931019386691 20 -11.79615566856514 30 0.0 10 -65.34915167786113 20 -15.95029713312131 30 0.0 10 -67.36954906092673 20 -21.35646362280834 30 0.0 10 -68.6256830291482 20 -25.20814678864964 30 0.0 10 -68.29927259875905 20 -29.21376499320069 30 0.0 10 -66.39031776975939 20 -33.37351867270979 30 0.0 10 -64.98666272277441 20 -35.55606898066628 30 0.0 10 -63.23605252994753 20 -35.37808159215811 30 0.0 10 -61.13838697315457 20 -32.839356070937 30 0.0 10 -60.82049508331905 20 -29.74031101753732 30 0.0 10 -61.05781160132992 20 -26.00177411387266 30 0.0 10 -61.85053696343552 20 -21.62344470557059 30 0.0 0 SPLINE 5 8D 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -59.35801199745215 20 -50.41981984733252 30 0.0 10 -62.26644217869952 20 -45.9726405877325 30 0.0 10 -64.53628247279939 20 -41.59601488754117 30 0.0 10 -66.16763309787585 20 -37.29004296488259 30 0.0 10 -63.72561806646912 20 -39.87757471256965 30 0.0 10 -61.04037364772607 20 -42.23650891904772 30 0.0 10 -58.11179962352256 20 -44.36674536619266 30 0.0 10 -55.50472534162432 20 -45.86340283239812 30 0.0 10 -53.82827656067586 20 -47.46579041959234 30 0.0 10 -53.08245328067711 20 -49.1736074734029 30 0.0 10 -52.61994663767871 20 -51.03135084095683 30 0.0 10 -52.9018602209408 20 -52.41105375626756 30 0.0 10 -53.92809381233918 20 -53.31281643745925 30 0.0 10 -56.09090114983617 20 -54.16717594592322 30 0.0 10 -57.90074025404159 20 -53.20287715525572 30 0.0 10 -59.35801199745215 20 -50.41981984733252 30 0.0 0 SPLINE 5 8E 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 38 73 34 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.0833333333333333 40 0.0833333333333333 40 0.0833333333333333 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.25 40 0.25 40 0.25 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.4166666666666667 40 0.4166666666666667 40 0.4166666666666667 40 0.5 40 0.5 40 0.5 40 0.5833333333333334 40 0.5833333333333334 40 0.5833333333333334 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 0.75 40 0.75 40 0.75 40 0.8333333333333334 40 0.8333333333333334 40 0.8333333333333334 40 1.0 40 1.0 40 1.0 40 1.0 10 -39.10683543031681 20 -51.08727255423811 30 0.0 10 -38.1627807007296 20 -50.32842091809857 30 0.0 10 -37.38398565788223 20 -49.42335103880964 30 0.0 10 -36.77035008365056 20 -48.37236357074372 30 0.0 10 -41.32245771924609 20 -49.81630630364097 30 0.0 10 -43.90387616135936 20 -48.86683979534914 30 0.0 10 -44.51470562811456 20 -45.52386382774402 30 0.0 10 -44.09228623478016 20 -42.72847969054915 30 0.0 10 -43.08349059698563 20 -40.82944645584134 30 0.0 10 -41.48811827848256 20 -39.8268643417447 30 0.0 10 -40.54696987449599 20 -39.18326354838916 30 0.0 10 -39.79773917827585 20 -38.64910094661637 30 0.0 10 -39.24052640794625 20 -38.22447675455044 30 0.0 10 -45.18937403995967 20 -38.72125799601156 30 0.0 10 -50.07039755904835 20 -37.41561627445509 30 0.0 10 -53.88339652896383 20 -34.30785224425348 30 0.0 10 -55.70315722746113 20 -32.79155202571268 30 0.0 10 -57.33510916128255 20 -30.9667804210074 30 0.0 10 -58.77925233042816 20 -28.83343721201346 30 0.0 10 -58.34651047030528 20 -33.50901357657411 30 0.0 10 -59.9486976212512 20 -36.20909027769284 30 0.0 10 -63.58601421951424 20 -36.93386775161795 30 0.0 10 -61.11954596581248 20 -39.38800917604804 30 0.0 10 -57.79631296866688 20 -42.07335381291524 30 0.0 10 -53.61631522807744 20 -44.98970122597122 30 0.0 10 -51.68912070048064 20 -46.61874683419201 30 0.0 10 -50.70998962743745 20 -48.11720822663236 30 0.0 10 -50.67892200894785 20 -49.48498518516803 30 0.0 10 -49.7585187566624 20 -51.94574100579267 30 0.0 10 -48.55680322985984 20 -53.35510848585474 30 0.0 10 -47.07377542854016 20 -53.71318784347844 30 0.0 10 -44.86747342515775 20 -54.77610126831938 30 0.0 10 -42.21189357116607 20 -53.90069595378179 30 0.0 10 -39.10683543031681 20 -51.08727255423811 30 0.0 0 SPLINE 5 8F 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 17 73 13 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.2 40 0.2 40 0.2 40 0.4 40 0.4 40 0.4 40 0.6 40 0.6 40 0.6 40 1.0 40 1.0 40 1.0 40 1.0 10 -41.043049589088 20 -46.77007620167363 30 0.0 10 -42.55934980762879 20 -46.70363158535554 30 0.0 10 -42.82643110851519 20 -45.6058422533069 30 0.0 10 -41.84419327362303 20 -43.47650776927939 30 0.0 10 -41.60326890314239 20 -42.14340628170307 30 0.0 10 -37.30090483295361 20 -39.20581262632517 30 0.0 10 -28.93700084493246 20 -34.66402745751812 30 0.0 10 -31.21525946146176 20 -39.82896892235205 30 0.0 10 -33.08462813141824 20 -42.88522083673539 30 0.0 10 -34.54490641855359 20 -43.83258276441985 30 0.0 10 -36.36306362706432 20 -46.10202218602306 30 0.0 10 -38.52897772641025 20 -47.08115325906626 30 0.0 10 -41.043049589088 20 -46.77007620167363 30 0.0 0 SPLINE 5 90 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 29 73 25 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1111111111111111 40 0.1111111111111111 40 0.1111111111111111 40 0.2222222222222222 40 0.2222222222222222 40 0.2222222222222222 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.4444444444444444 40 0.4444444444444444 40 0.4444444444444444 40 0.5555555555555556 40 0.5555555555555556 40 0.5555555555555556 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 0.7777777777777778 40 0.7777777777777778 40 0.7777777777777778 40 1.0 40 1.0 40 1.0 40 1.0 10 -58.7571041249888 20 -14.10177383299011 30 0.0 10 -56.48215270655682 20 -8.244325130210589 30 0.0 10 -53.57432383405441 20 -3.674979977259552 30 0.0 10 -50.03371772560578 20 -0.3933375016403486 30 0.0 10 -52.41319664753665 20 -6.826539327719072 30 0.0 10 -52.41319664753665 20 -12.64229729084803 30 0.0 10 -50.03371772560578 20 -17.8404109547789 30 0.0 10 -48.39144332499583 20 -22.08114087860931 30 0.0 10 -44.47481881469888 20 -24.60312997309571 30 0.0 10 -38.28374397659074 20 -25.40667889261059 30 0.0 10 -32.24419894221246 20 -25.37039993166468 30 0.0 10 -26.84394532185086 20 -22.46257105916228 30 0.0 10 -22.08288289738174 20 -16.68329249322754 30 0.0 10 -23.53494329833598 20 -23.07801055962883 30 0.0 10 -26.38344304133566 20 -28.18141809622851 30 0.0 10 -30.62838212638079 20 -31.99381575739906 30 0.0 10 -35.82429099158016 20 -35.76522420578821 30 0.0 10 -41.16521548243904 20 -37.0114365797178 30 0.0 10 -46.65115559895744 20 -35.7324528791879 30 0.0 10 -52.02615425203074 20 -33.89976404267396 30 0.0 10 -55.67579767956545 20 -30.81394778166339 30 0.0 10 -57.59998566343744 20 -26.47490387803204 30 0.0 10 -59.40842171390464 20 -21.65050359909378 30 0.0 10 -59.79416127379649 20 -17.5262271355373 30 0.0 10 -58.7571041249888 20 -14.10177383299011 30 0.0 0 SPLINE 5 91 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 14 73 10 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.25 40 0.25 40 0.25 40 0.5 40 0.5 40 0.5 40 1.0 40 1.0 40 1.0 40 1.0 10 -20.03562705704126 20 -8.226786958482585 30 0.0 10 -22.30165906242304 20 -14.42938688086915 30 0.0 10 -25.89187314233087 20 -18.88017399293892 30 0.0 10 -30.80636951488894 20 -21.57904807656772 30 0.0 10 -29.22372489815423 20 -12.65783110009283 30 0.0 10 -26.13780841901952 20 -3.28162383993155 30 0.0 10 -21.54882051373311 20 6.549673922040295 30 0.0 10 -18.60030308282175 20 1.993958433975015 30 0.0 10 -18.09580504580032 20 -2.931561932240664 30 0.0 10 -20.03562705704126 20 -8.226786958482585 30 0.0 0 SPLINE 5 92 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 38 73 34 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.0833333333333333 40 0.0833333333333333 40 0.0833333333333333 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.25 40 0.25 40 0.25 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.4166666666666667 40 0.4166666666666667 40 0.4166666666666667 40 0.5 40 0.5 40 0.5 40 0.5833333333333334 40 0.5833333333333334 40 0.5833333333333334 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 0.75 40 0.75 40 0.75 40 0.8333333333333334 40 0.8333333333333334 40 0.8333333333333334 40 1.0 40 1.0 40 1.0 40 1.0 10 -38.01666267570432 20 8.686123892883176 30 0.0 10 -37.91444018906111 20 4.294565692191974 30 0.0 10 -37.89960790668545 20 1.372004755438045 30 0.0 10 -37.97226604670144 20 -0.0816591355027434 30 0.0 10 -37.52769844792769 20 -0.0393670871072285 30 0.0 10 -37.14185866991168 20 -0.0244345866073843 30 0.0 10 -36.81504736702591 20 -0.0371622883757077 30 0.0 10 -36.54796606613951 20 2.538643938784613 30 0.0 10 -36.0138034643667 20 4.170595872606055 30 0.0 10 -35.21286021607999 20 4.858693513088607 30 0.0 10 -33.10998331683071 20 5.11104274972349 30 0.0 10 -30.70665248134974 20 5.229701008728934 30 0.0 10 -28.00256705526463 20 5.21466829010493 30 0.0 10 -25.08191026286976 20 5.36359242260669 30 0.0 10 -23.76153647706174 20 5.244934163601259 30 0.0 10 -24.04134547971647 20 4.858693513088607 30 0.0 10 -28.91745831072127 20 -4.624045613054744 30 0.0 10 -32.59676630300737 20 -13.91135939708611 30 0.0 10 -35.0790690203264 20 -23.00324783900547 30 0.0 10 -40.69809880572927 20 -24.31460199363907 30 0.0 10 -45.11922135304771 20 -22.32657506467716 30 0.0 10 -48.3424366622816 20 -17.03926727024387 30 0.0 10 -50.90491387892864 20 -10.85761293580676 30 0.0 10 -50.34108671240448 20 -4.715644978536984 30 0.0 10 -46.65115559895744 20 1.386937255937891 30 0.0 10 -43.19302900669247 20 7.845093394932443 30 0.0 10 -38.14885038147136 20 11.28698465108348 30 0.0 10 -31.51851950516992 20 11.712611024391 30 0.0 10 -27.85414401338366 20 11.69216652706236 30 0.0 10 -24.97607992375679 20 10.65360610639228 30 0.0 10 -22.88402658191679 20 8.597130198629088 30 0.0 10 -31.07425256076863 20 8.805984769378528 30 0.0 10 -36.11843118598976 20 8.835649334129894 30 0.0 10 -38.01666267570432 20 8.686123892883176 30 0.0 0 SPLINE 5 93 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 17 73 13 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.2 40 0.2 40 0.2 40 0.4 40 0.4 40 0.4 40 0.6 40 0.6 40 0.6 40 1.0 40 1.0 40 1.0 40 1.0 10 -8.551732427671032 20 -12.85646342217795 30 0.0 10 -4.652445652853752 20 -11.21940036402434 30 0.0 10 -1.714952215599996 20 -11.47154916441092 30 0.0 10 0.2607478840902444 20 -13.61301004146179 30 0.0 10 1.734756054235524 20 -15.71127690699971 30 0.0 10 2.06116648462465 20 -18.58954143287491 30 0.0 10 1.239979175257607 20 -22.24750296471491 30 0.0 10 0.2030222445740892 20 -25.02394587644355 30 0.0 10 -1.621749360131191 20 -26.04767401473795 30 0.0 10 -4.234435856982392 20 -25.3186873795981 30 0.0 10 -6.369482774087034 20 -24.48096407974468 30 0.0 10 -7.734453625146232 20 -22.30001726177474 30 0.0 10 -8.329147973911677 20 -18.77594714381251 30 0.0 0 SPLINE 5 94 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 50 73 46 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.0625 40 0.0625 40 0.0625 40 0.125 40 0.125 40 0.125 40 0.1875 40 0.1875 40 0.1875 40 0.25 40 0.25 40 0.25 40 0.3125 40 0.3125 40 0.3125 40 0.375 40 0.375 40 0.375 40 0.4375 40 0.4375 40 0.4375 40 0.5 40 0.5 40 0.5 40 0.5625 40 0.5625 40 0.5625 40 0.625 40 0.625 40 0.625 40 0.6875 40 0.6875 40 0.6875 40 0.75 40 0.75 40 0.75 40 0.8125 40 0.8125 40 0.8125 40 0.875 40 0.875 40 0.875 40 1.0 40 1.0 40 1.0 40 1.0 10 -8.462738733416955 20 -11.12068551172676 30 0.0 10 -7.016992074284795 20 -3.560230225096348 30 0.0 10 -3.189261040117753 20 0.9755418562610849 30 0.0 10 3.020855241580805 20 2.486730950469733 30 0.0 10 7.723690935912968 20 -1.231461673990422 30 0.0 10 10.39420329040449 20 -5.978994651697947 30 0.0 10 11.03219186880705 20 -11.75576776452867 30 0.0 10 11.69623715949121 20 -17.24932445848324 30 0.0 10 10.24237283230209 20 -22.48642297271234 30 0.0 10 6.670699105363852 20 -27.46676265284355 30 0.0 10 4.073345981509131 20 -31.09626223742211 30 0.0 10 0.660918853861125 20 -32.85789642390658 30 0.0 10 -3.566782713828476 20 -32.75156499417284 30 0.0 10 -7.971870361281274 20 -32.35770776622402 30 0.0 10 -11.41386183555647 20 -30.31035170775938 30 0.0 10 -13.89255670040574 20 -26.60939660065475 30 0.0 10 -16.1976737742099 20 -23.25359271315715 30 0.0 10 -17.41422158338816 20 -19.66327841512516 30 0.0 10 -17.54220012794048 20 -15.83855392468291 30 0.0 10 -17.7328150000928 20 -11.88524958094338 30 0.0 10 -17.16908805169279 20 -8.324599847662746 30 0.0 10 -15.85091906461631 20 -5.156805161089306 30 0.0 10 -14.16114127315456 20 -1.677432326502421 30 0.0 10 -12.17311434419264 20 0.8150926394809295 30 0.0 10 -9.88683827773056 20 2.320569300612452 30 0.0 10 -6.172353723864308 20 4.520858216545253 30 0.0 10 -2.656200837710715 20 4.951094623564131 30 0.0 10 0.6615201626060887 20 3.611278521669085 30 0.0 10 -5.953076468202237 20 1.232300690359012 30 0.0 10 -10.210943691264 20 -3.737616304859545 30 0.0 10 -12.11228194282752 20 -11.29867290023492 30 0.0 10 -13.31770554022399 20 -18.66199913664259 30 0.0 10 -11.77474730065663 20 -23.73584232661507 30 0.0 10 -7.483507442249595 20 -26.52030268827652 30 0.0 10 -2.968680948841593 20 -28.1837231130842 30 0.0 10 0.5919687844390478 20 -27.29368595241923 30 0.0 10 3.19824132134401 20 -23.84989055190917 30 0.0 10 5.645066822710405 20 -20.3276243601818 30 0.0 10 6.030806382602249 20 -16.76697462690115 30 0.0 10 4.355560219143689 20 -13.16804157019138 30 0.0 10 2.494910525989124 20 -9.881187752115867 30 0.0 10 -0.0865079161241562 20 -8.516216901056659 30 0.0 10 -3.388895543444472 20 -9.073429671386261 30 0.0 10 -5.487062190858238 20 -9.476206312385301 30 0.0 10 -7.178343254182392 20 -10.1586917379149 30 0.0 10 -8.462738733416955 20 -11.12068551172676 30 0.0 0 SPLINE 5 95 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 35 73 31 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.0909090909090909 40 0.0909090909090909 40 0.0909090909090909 40 0.1818181818181818 40 0.1818181818181818 40 0.1818181818181818 40 0.2727272727272727 40 0.2727272727272727 40 0.2727272727272727 40 0.3636363636363636 40 0.3636363636363636 40 0.3636363636363636 40 0.4545454545454545 40 0.4545454545454545 40 0.4545454545454545 40 0.5454545454545454 40 0.5454545454545454 40 0.5454545454545454 40 0.6363636363636364 40 0.6363636363636364 40 0.6363636363636364 40 0.7272727272727273 40 0.7272727272727273 40 0.7272727272727273 40 0.8181818181818182 40 0.8181818181818182 40 0.8181818181818182 40 1.0 40 1.0 40 1.0 40 1.0 10 -20.70167671020862 20 -25.10802888261379 30 0.0 10 -20.60626905600832 20 -22.04566366265668 30 0.0 10 -20.17603264898944 20 -19.44941293816771 30 0.0 10 -19.41086727102784 20 -17.31927670914692 30 0.0 10 -18.25585339008382 20 -24.23482836680772 30 0.0 10 -15.34812473570559 20 -29.3384363396557 30 0.0 10 -10.68738065352063 20 -32.6299001914426 30 0.0 10 -6.669034747201277 20 -34.88270340443523 30 0.0 10 -3.256707837677434 20 -35.38710122333252 30 0.0 10 -0.4506003611974321 20 -34.14319386625858 30 0.0 10 3.573457978199051 20 -33.46391542070211 30 0.0 10 7.133907275231373 20 -30.64518046057795 30 0.0 10 10.23124862052033 20 -25.68678854963778 30 0.0 10 12.05972829581953 20 -22.22214777930243 30 0.0 10 12.86097219847873 20 -19.40321238292995 30 0.0 10 12.63467967412545 20 -17.23028301489284 30 0.0 10 14.45173448327041 20 -21.36929154270084 30 0.0 10 14.83747404316225 20 -26.4135703860461 30 0.0 10 13.7917981356768 20 -32.36291910868033 30 0.0 10 12.60451401875329 20 -36.35891637331203 30 0.0 10 11.03189121443457 20 -39.35573894006855 30 0.0 10 9.074029940844806 20 -41.35338680894979 30 0.0 10 6.08692853213185 20 -44.19727651823811 30 0.0 10 2.555943363602568 20 -45.8588930168109 30 0.0 10 -1.51882534661887 20 -46.3382363046682 30 0.0 10 -6.054697646100472 20 -46.96760612439299 30 0.0 10 -10.44625584679167 20 -45.63240005620931 30 0.0 10 -14.69309907619583 20 -42.332517881993 30 0.0 10 -19.69999655922943 20 -36.77812878667331 30 0.0 10 -21.70285577056704 20 -31.03653223542275 30 0.0 10 -20.70167671020862 20 -25.10802888261379 30 0.0 0 SPLINE 5 96 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 26 73 22 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.125 40 0.125 40 0.125 40 0.25 40 0.25 40 0.25 40 0.375 40 0.375 40 0.375 40 0.5 40 0.5 40 0.5 40 0.625 40 0.625 40 0.625 40 0.75 40 0.75 40 0.75 40 1.0 40 1.0 40 1.0 40 1.0 10 -26.57636293034367 20 -41.04190887906054 30 0.0 10 -26.02586477433278 20 -35.15750150088193 30 0.0 10 -24.80170038771839 20 -30.43231716486213 30 0.0 10 -22.90437086112125 20 -26.86625565287682 30 0.0 10 -23.25052426196992 20 -30.80081920739843 30 0.0 10 -22.71646187832128 20 -34.27237502830083 30 0.0 10 -21.3020834920512 20 -37.28102333370822 30 0.0 10 -19.84461131239231 20 -39.84750927532166 30 0.0 10 -18.47974067945727 20 -42.69590880019715 30 0.0 10 -17.20747159324609 20 -45.82652256270724 30 0.0 10 -15.81975122800256 20 -49.17330681903043 30 0.0 10 -15.49334079761343 20 -51.90314830302466 30 0.0 10 -16.22824030207871 20 -54.01584657844164 30 0.0 10 -17.03599838280831 20 -56.41987894079172 30 0.0 10 -18.8236892815744 20 -57.28776789601731 30 0.0 10 -21.59141321650112 20 -56.6196136622426 30 0.0 10 -23.6909829176531 20 -55.75733692196995 30 0.0 10 -25.04853762752446 20 -53.90280053438913 30 0.0 10 -25.66397712799102 20 -51.05610471762435 30 0.0 10 -26.59720830016894 20 -47.31105363588931 30 0.0 10 -26.90127008887039 20 -43.97298835636803 30 0.0 10 -26.57636293034367 20 -41.04190887906054 30 0.0 0 SPLINE 5 97 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 32 73 28 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1 40 0.1 40 0.1 40 0.2 40 0.2 40 0.2 40 0.3 40 0.3 40 0.3 40 0.4 40 0.4 40 0.4 40 0.5 40 0.5 40 0.5 40 0.6 40 0.6 40 0.6 40 0.7 40 0.7 40 0.7 40 0.8 40 0.8 40 0.8 40 1.0 40 1.0 40 1.0 40 1.0 10 -26.59851113578302 20 -54.90618439347907 30 0.0 10 -24.62571736169342 20 -58.41251590346499 30 0.0 10 -22.05903098383167 20 -59.73288968927299 30 0.0 10 -18.89865243844607 20 -58.86740596902723 30 0.0 10 -17.61505870420481 20 -62.47555909315972 30 0.0 10 -15.25612449772671 20 -64.27066613311364 30 0.0 10 -11.82195003713599 20 -64.25282730701315 30 0.0 10 -14.4563838669299 20 -66.20487592940162 30 0.0 10 -17.02297002666752 20 -67.9554861222285 30 0.0 10 -19.52180873447294 20 -69.50475810361793 30 0.0 10 -23.71944486491456 20 -72.91187367068547 30 0.0 10 -26.7310997140467 20 -74.82573918776899 30 0.0 10 -28.55687349999359 20 -75.24615421862019 30 0.0 10 -31.1956167091264 20 -75.52285645942595 30 0.0 10 -33.31713417946945 20 -74.7512771215181 30 0.0 10 -34.92142591102271 20 -72.93181707739332 30 0.0 10 -35.99155504080321 20 -71.80265947248257 30 0.0 10 -36.71863753158399 20 -70.57127938092869 30 0.0 10 -37.10237272899263 20 -69.23767680273154 30 0.0 10 -33.97436463771071 20 -70.99690575423618 30 0.0 10 -31.22979108946495 20 -70.96724118948482 30 0.0 10 -28.86845164800702 20 -69.14868310847746 30 0.0 10 -27.22056503244416 20 -67.40328425810692 30 0.0 10 -26.37482428265792 20 -64.55478451510724 30 0.0 10 -26.33142983489662 20 -60.60318387947844 30 0.0 10 -26.51041940464639 20 -58.05273283773059 30 0.0 10 -26.59941309890047 20 -56.15369960302274 30 0.0 10 -26.59851113578302 20 -54.90618439347907 30 0.0 0 SPLINE 5 98 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 -13.6466214237171 20 -50.18831598052292 30 0.0 10 -13.84194654770496 20 -48.64896559342532 30 0.0 10 -14.3165795837267 20 -46.86869083584708 30 0.0 10 -15.07082118615487 20 -44.84739148966402 30 0.0 10 -10.70321511713792 20 -47.62553810950338 30 0.0 10 -7.03873940722751 20 -48.94601211343553 30 0.0 10 -4.077394056423669 20 -48.80861306521219 30 0.0 10 -3.93889260883455 20 -53.35230237837827 30 0.0 10 -4.680807381991038 20 -56.67553537552389 30 0.0 10 -6.30283772152063 20 -58.77831205664899 30 0.0 10 -8.274829750616961 20 -61.13313732003651 30 0.0 10 -10.42601178571136 20 -62.18653002308228 30 0.0 10 -12.75638382680382 20 -61.93828972953794 30 0.0 10 -14.99255083118592 20 -61.64495128012163 30 0.0 10 -16.29809233461824 20 -60.41347097044354 30 0.0 10 -16.67310855522495 20 -58.24414945487618 30 0.0 10 -14.26286266917695 20 -56.00607830613505 30 0.0 10 -13.25396681325822 20 -53.32073366926784 30 0.0 10 -13.6466214237171 20 -50.18831598052292 30 0.0 0 SPLINE 5 99 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 0.373292837521928 20 -59.17888389891652 30 0.0 10 -1.153229629683197 20 -56.06189980129218 30 0.0 10 -1.791218208085752 20 -52.62000854514115 30 0.0 10 -1.540472461437438 20 -48.85310991233924 30 0.0 10 2.581699421511692 20 -48.26553105038916 30 0.0 10 6.068087524789772 20 -46.81166672320002 30 0.0 10 8.918792066520968 20 -44.49131649452355 30 0.0 10 7.580278800240009 20 -48.0669989464282 30 0.0 10 7.16497489372097 20 -51.03415694843332 30 0.0 10 7.672579692591372 20 -53.39279050053889 30 0.0 10 8.518019788005125 20 -56.37337773118146 30 0.0 10 9.719635096683527 20 -58.09442357738115 30 0.0 10 11.27772627299905 20 -58.55572760288963 30 0.0 10 10.79106706207809 20 -61.17132042534146 30 0.0 10 9.14428284588097 20 -62.58068790540355 30 0.0 10 6.337373624407689 20 -62.78403047932422 30 0.0 10 3.651728333168012 20 -62.54711483380996 30 0.0 10 1.663701404206086 20 -61.3453993070074 30 0.0 10 0.373292837521928 20 -59.17888389891652 30 0.0 0 SPLINE 5 9A 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 16.17348185633921 20 -31.76211145434115 30 0.0 10 16.49708617925184 20 -29.56693366274052 30 0.0 10 16.52675074400321 20 -27.66800064615682 30 0.0 10 16.26247555059329 20 -26.06521218646596 30 0.0 10 19.55113329490368 20 -32.89066775050691 30 0.0 10 20.91600392783873 20 -39.59646287430084 30 0.0 10 20.35718766752258 20 -46.18269777597186 30 0.0 10 19.72010105223745 20 -52.3475154655501 30 0.0 10 18.08814911841601 20 -55.84873585120387 30 0.0 10 15.46133186605825 20 -56.68635893293315 30 0.0 10 11.83574078832193 20 -57.32454794758405 30 0.0 10 9.877478642235525 20 -55.63326688425987 30 0.0 10 9.586344991550726 20 -51.61251574296067 30 0.0 10 9.807827045944329 20 -47.8592467750445 30 0.0 10 10.90561637799297 20 -44.32826160651521 30 0.0 10 12.87991342394497 20 -41.01976067362118 30 0.0 10 14.67331675578817 20 -37.54409590962821 30 0.0 10 15.77120630596097 20 -34.45817943049349 30 0.0 10 16.17348185633921 20 -31.76211145434115 30 0.0 0 SPLINE 5 9B 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 38 73 34 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.0833333333333333 40 0.0833333333333333 40 0.0833333333333333 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.25 40 0.25 40 0.25 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.4166666666666667 40 0.4166666666666667 40 0.4166666666666667 40 0.5 40 0.5 40 0.5 40 0.5833333333333334 40 0.5833333333333334 40 0.5833333333333334 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 0.75 40 0.75 40 0.75 40 0.8333333333333334 40 0.8333333333333334 40 0.8333333333333334 40 1.0 40 1.0 40 1.0 40 1.0 10 13.50316993809601 20 -58.91180259803011 30 0.0 10 16.51051540788929 20 -59.16996448586627 30 0.0 10 18.81743640792833 20 -57.81621806471297 30 0.0 10 20.42413337446145 20 -54.85056333457028 30 0.0 10 19.75798350316993 20 -60.26023745860292 30 0.0 10 20.05472936880769 20 -64.20652653365124 30 0.0 10 21.31427075325057 20 -66.68953077783938 30 0.0 10 22.41115812218177 20 -69.36886232725699 30 0.0 10 24.36952048639233 20 -70.704268831689 30 0.0 10 27.18935784588225 20 -70.69524920051457 30 0.0 10 28.88314436231041 20 -70.54051241681152 30 0.0 10 29.98103391248322 20 -70.27343111592515 30 0.0 10 30.48292627827649 20 -69.8940052978554 30 0.0 10 29.81106397390785 20 -71.98375362283971 30 0.0 10 28.46844176453633 20 -73.56008449775237 30 0.0 10 26.45495943203777 20 -74.6229979225933 30 0.0 10 24.35328515027841 20 -75.7616762492992 30 0.0 10 22.23176767993537 20 -75.9248313554317 30 0.0 10 20.09030680288449 20 -75.11266367723907 30 0.0 10 18.71170628693952 20 -74.69024428390466 30 0.0 10 17.05008978836673 20 -73.62201929848322 30 0.0 10 15.10545730716609 20 -71.90798872097474 30 0.0 10 13.78688744759297 20 -70.74816437007107 30 0.0 10 11.99168018951489 20 -69.42779058426306 30 0.0 10 9.720035969180172 20 -67.94686736355077 30 0.0 10 8.022340945909766 20 -66.93045514832002 30 0.0 10 6.642638030599047 20 -65.98088842190403 30 0.0 10 5.580827005123846 20 -65.09836762055106 30 0.0 10 8.22277719422977 20 -65.12713022218499 30 0.0 10 10.24036846981889 20 -64.29632197289858 30 0.0 10 11.63380126813953 20 -62.60594287269188 30 0.0 10 12.56502807783425 20 -61.55976587458564 30 0.0 10 13.18818437386113 20 -60.32838578303171 30 0.0 10 13.50316993809601 20 -58.91180259803011 30 0.0 0 SPLINE 5 9C 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 26 73 22 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.125 40 0.125 40 0.125 40 0.25 40 0.25 40 0.25 40 0.375 40 0.375 40 0.375 40 0.5 40 0.5 40 0.5 40 0.625 40 0.625 40 0.625 40 0.75 40 0.75 40 0.75 40 1.0 40 1.0 40 1.0 40 1.0 10 23.09484616520129 20 -47.72936408613313 30 0.0 10 23.21029744423361 20 -44.74817554674561 30 0.0 10 22.98039706741057 20 -41.84786303355526 30 0.0 10 22.40514503473217 20 -39.02832632843781 30 0.0 10 25.41679988386432 20 -45.29817261213572 30 0.0 10 27.84989550222081 20 -50.55000319061634 30 0.0 10 29.70443188980161 20 -54.78391828200387 30 0.0 10 31.84839821995649 20 -58.64111344467395 30 0.0 10 32.64954190449154 20 -62.083004700825 30 0.0 10 32.10776272528258 20 -65.10979248670533 30 0.0 10 31.55786587801666 20 -66.7817314520666 30 0.0 10 30.24490823339649 20 -67.8721046429274 30 0.0 10 28.16899000954625 20 -68.38101227741187 30 0.0 10 25.86587729822528 20 -68.6137187617114 30 0.0 10 24.28593857084289 20 -67.91640105380611 30 0.0 10 23.42887317302657 20 -66.28915937182018 30 0.0 10 22.59746361499521 20 -63.99346280168709 30 0.0 10 22.30081796748161 20 -61.62711245402116 30 0.0 10 22.53873579423745 20 -59.19020854694659 30 0.0 10 22.57270973832768 20 -55.61141933319298 30 0.0 10 22.75801304989953 20 -51.79110444021379 30 0.0 10 23.09484616520129 20 -47.72936408613313 30 0.0 0 SPLINE 5 9D 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 24.74233190826753 20 -38.49436416291331 30 0.0 10 24.00071778948354 20 -37.09241282403907 30 0.0 10 23.34058100564161 20 -35.77935496129474 30 0.0 10 22.76172112049345 20 -34.55549122905285 30 0.0 10 27.6738122580717 20 -37.5716558937722 30 0.0 10 31.62020155124417 20 -40.15307433588549 30 0.0 10 34.60068856376257 20 -42.2997465553927 30 0.0 10 36.22011323206402 20 -43.9457290265965 30 0.0 10 36.59102050958018 20 -45.31059965953155 30 0.0 10 35.71351061443521 20 -46.39455889044611 30 0.0 10 33.92080880946113 20 -47.51850515290052 30 0.0 10 31.38378699635073 20 -46.75444217430466 30 0.0 10 28.10264561135233 20 -44.10236995465858 30 0.0 10 26.64066361610626 20 -42.43955083859586 30 0.0 10 25.52052564236994 20 -40.57028238676357 30 0.0 10 24.74233190826753 20 -38.49436416291331 30 0.0 0 SPLINE 5 9E 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 56.7656304298573 20 -40.38578082018499 30 0.0 10 58.17559921866433 20 -39.15600421861764 30 0.0 10 59.21405942121025 20 -38.2065377103258 30 0.0 10 59.88131169186752 20 -37.53728107718534 30 0.0 10 58.45891585566466 20 -41.05483701707715 30 0.0 10 56.3817950143245 20 -45.11978435113092 30 0.0 10 53.65014960409536 20 -49.73242373371906 30 0.0 10 52.044555036928 20 -52.36886192599619 30 0.0 10 50.63518755686593 20 -53.65957114705283 30 0.0 10 49.42194694578497 20 -53.60445117876485 30 0.0 10 46.775587159216 20 -54.43205244807812 30 0.0 10 45.848569510736 20 -50.55882238554241 30 0.0 10 47.34151890634753 20 -48.15619307693061 30 0.0 10 48.0461525373165 20 -47.02232522018433 30 0.0 10 49.18473064589824 20 -46.00461016933954 30 0.0 10 50.75715301396865 20 -45.10354901501698 30 0.0 10 53.08972985379266 20 -43.34632442599555 30 0.0 10 55.09268928325442 20 -41.77370162167685 30 0.0 10 56.7656304298573 20 -40.38578082018499 30 0.0 0 SPLINE 5 9F 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 35 73 31 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.0909090909090909 40 0.0909090909090909 40 0.0909090909090909 40 0.1818181818181818 40 0.1818181818181818 40 0.1818181818181818 40 0.2727272727272727 40 0.2727272727272727 40 0.2727272727272727 40 0.3636363636363636 40 0.3636363636363636 40 0.3636363636363636 40 0.4545454545454545 40 0.4545454545454545 40 0.4545454545454545 40 0.5454545454545454 40 0.5454545454545454 40 0.5454545454545454 40 0.6363636363636364 40 0.6363636363636364 40 0.6363636363636364 40 0.7272727272727273 40 0.7272727272727273 40 0.7272727272727273 40 0.8181818181818182 40 0.8181818181818182 40 0.8181818181818182 40 1.0 40 1.0 40 1.0 40 1.0 10 45.37163145785858 20 -35.668012625353 30 0.0 10 48.40783974740992 20 -33.95267921223041 30 0.0 10 50.72217688863682 20 -31.62350978862789 30 0.0 10 52.31474309966337 20 -28.68050435454531 30 0.0 10 51.87127790025538 20 -33.16987544441668 30 0.0 10 53.41413592169857 20 -35.92938149316228 30 0.0 10 56.94351760024128 20 -36.95892228265797 30 0.0 10 54.76096729228482 20 -39.25592168840517 30 0.0 10 51.971896896912 20 -41.51102991825347 30 0.0 10 48.57620619599874 20 -43.72404653595456 30 0.0 10 46.39405676053889 20 -45.25628143623683 30 0.0 10 44.96975677997698 20 -47.18487901757184 30 0.0 10 44.30340647243713 20 -49.51003971620801 30 0.0 10 43.42038458046338 20 -52.1054886957037 30 0.0 10 42.01091688227713 20 -53.63351443477121 30 0.0 10 40.07520381412672 20 -54.09421715153475 30 0.0 10 37.02005429910913 20 -54.30677979287812 30 0.0 10 33.90457347334721 20 -52.40784677629443 30 0.0 10 30.72856090059266 20 -48.39731788365955 30 0.0 10 34.16504037803906 20 -49.78173105080577 30 0.0 10 36.5832034958957 20 -49.2773332319085 30 0.0 10 37.98335090853506 20 -46.8839239907194 30 0.0 10 38.61692988947458 20 -44.78495559831234 30 0.0 10 37.96410902869634 20 -42.76736432272325 30 0.0 10 36.0249885443245 20 -40.83094972770371 30 0.0 10 35.08454166720705 20 -39.86584919204294 30 0.0 10 34.13497494079105 20 -39.04987322513217 30 0.0 10 33.17648880132481 20 -38.38302182697157 30 0.0 10 38.10581745625859 20 -38.58816832712708 30 0.0 10 42.17096522656065 20 -37.68319866596228 30 0.0 10 45.37163145785858 20 -35.668012625353 30 0.0 0 SPLINE 5 A0 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 55.16324284266305 20 -24.22991767872388 30 0.0 10 55.84612914068929 20 -18.89109776847235 30 0.0 10 55.04488523803009 20 -13.78759001374851 30 0.0 10 52.75991200718209 20 -8.919294196428186 30 0.0 10 56.93309491532865 20 -12.82158751497027 30 0.0 10 59.66824795990337 20 -17.24130700855043 30 0.0 10 60.96487005028547 20 -22.17825224092035 30 0.0 10 61.6963621385293 20 -24.96281282070596 30 0.0 10 61.76751700668289 20 -26.31746120497668 30 0.0 10 61.25610391909441 20 -29.13519398385922 30 0.0 10 60.61019810888321 20 -32.69494175402243 30 0.0 10 59.43975063681857 20 -34.56110344400579 30 0.0 10 57.74476150290047 20 -34.73367905380933 30 0.0 10 54.67437883301056 20 -34.9043505192538 30 0.0 10 53.81390601897282 20 -31.40313013360003 30 0.0 10 55.16324284266305 20 -24.22991767872388 30 0.0 0 SPLINE 5 A1 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 26 73 22 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.125 40 0.125 40 0.125 40 0.25 40 0.25 40 0.25 40 0.375 40 0.375 40 0.375 40 0.5 40 0.5 40 0.5 40 0.625 40 0.625 40 0.625 40 0.75 40 0.75 40 0.75 40 1.0 40 1.0 40 1.0 40 1.0 10 44.12551930205314 20 -15.8629069288538 30 0.0 10 45.90018184467841 20 -10.71820952510019 30 0.0 10 45.66276510854337 20 -5.525708076122264 30 0.0 10 43.41346952989633 20 -0.2852021456717111 30 0.0 10 49.99078501851713 20 -7.138017257608352 30 0.0 10 53.04693671477634 20 -13.33941456250499 30 0.0 10 52.58202483679808 20 -18.88939406036164 30 0.0 10 52.52399854290945 20 -24.96301325695428 30 0.0 10 49.70516336466113 20 -29.82910427554307 30 0.0 10 44.12551930205314 20 -33.48786755237636 30 0.0 10 39.16873088109953 20 -36.83645573493443 30 0.0 10 33.3531733542189 20 -36.95511399393988 30 0.0 10 26.67864628516289 20 -33.84394254751683 30 0.0 10 21.11463624992385 20 -30.35204244740996 30 0.0 10 17.25724065100545 20 -24.53638470240515 30 0.0 10 15.10665992465601 20 -16.39686909437827 30 0.0 10 20.61675260909697 20 -22.85843264959427 30 0.0 10 26.19499361796673 20 -25.85535543447492 30 0.0 10 31.84138295126529 20 -25.38743701277185 30 0.0 10 38.73799338345985 20 -24.47525164666756 30 0.0 10 42.83270550038914 20 -21.30044169140291 30 0.0 10 44.12551930205314 20 -15.8629069288538 30 0.0 0 SPLINE 5 A2 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 32 73 28 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1 40 0.1 40 0.1 40 0.2 40 0.2 40 0.2 40 0.3 40 0.3 40 0.3 40 0.4 40 0.4 40 0.4 40 0.5 40 0.5 40 0.5 40 0.6 40 0.6 40 0.6 40 0.7 40 0.7 40 0.7 40 0.8 40 0.8 40 0.8 40 1.0 40 1.0 40 1.0 40 1.0 10 34.46709780425729 20 -3.667964708568347 30 0.0 10 31.40242756744449 20 -1.417165858058897 30 0.0 10 27.73805207565825 20 -1.268742816177943 30 0.0 10 23.47377089265025 20 -3.22289601917379 30 0.0 10 23.93036466632321 20 0.418228867807338 30 0.0 10 24.44959476759617 20 3.222231763679974 30 0.0 10 25.03156141459329 20 5.189212886568292 30 0.0 10 29.42382114215362 20 5.203143205826528 30 0.0 10 33.0289677225613 20 5.143713858199654 30 0.0 10 35.84690093769218 20 5.011125279935977 30 0.0 10 35.81763724543747 20 5.748129365008603 30 0.0 10 35.92156344019138 20 6.25252718390589 30 0.0 10 36.15847908570562 20 6.524418954751967 30 0.0 10 40.30740920780545 20 2.705507115511017 30 0.0 10 42.68127591478337 20 -2.131019556450582 30 0.0 10 43.27977855226689 20 -7.985161061132828 30 0.0 10 43.90383681141122 20 -13.48693564126851 30 0.0 10 42.09379727095745 20 -18.01188416521667 30 0.0 10 37.84965993090562 20 -21.56000663297731 30 0.0 10 32.69634376847426 20 -24.14994361564418 30 0.0 10 27.94891100889089 20 -24.06094992139011 30 0.0 10 23.60726143403137 20 -21.29292533209091 30 0.0 10 30.63646044448961 20 -21.33942654170116 30 0.0 10 35.14657668606209 20 -18.84700179384195 30 0.0 10 37.13761015874883 20 -13.81575130663747 30 0.0 10 38.17346469006657 20 -9.276672027182748 30 0.0 10 37.28332731127745 20 -5.894109900534431 30 0.0 10 34.46709780425729 20 -3.667964708568347 30 0.0 0 SPLINE 5 A3 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 22.52159849500609 20 -16.57786302661123 30 0.0 10 21.05300210356546 20 -16.71856927293187 30 0.0 10 20.29475177617089 20 -17.51881099434947 30 0.0 10 20.24694773094657 20 -18.9786884089882 30 0.0 10 16.39245845762881 20 -15.55493663331011 30 0.0 10 13.93701419758465 20 -11.34146603925124 30 0.0 10 12.88061495081409 20 -6.338477063059866 30 0.0 10 11.89396751845889 20 -1.094463498263709 30 0.0 10 12.38353305498049 20 2.9112549244115 30 0.0 10 14.34951199662721 20 5.67857798684158 30 0.0 10 15.71999484451521 20 8.580794644391009 30 0.0 10 18.03443220386625 20 10.47982787909885 30 0.0 10 21.29262363843201 20 11.37557747284093 30 0.0 10 23.83154959590145 20 11.89210168476157 30 0.0 10 26.65038477414977 20 11.71401407812924 30 0.0 10 29.74912917317696 20 10.84151508919228 30 0.0 10 31.5076565978125 20 9.953081418513893 30 0.0 10 32.85789538462017 20 9.144521592791012 30 0.0 10 33.79994575172418 20 8.415835612023658 30 0.0 0 SPLINE 5 A4 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 26 73 22 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.125 40 0.125 40 0.125 40 0.25 40 0.25 40 0.25 40 0.375 40 0.375 40 0.375 40 0.5 40 0.5 40 0.5 40 0.625 40 0.625 40 0.625 40 0.75 40 0.75 40 0.75 40 1.0 40 1.0 40 1.0 40 1.0 10 33.79994575172418 20 8.415835612023658 30 0.0 10 30.06020623056962 20 8.43307312937917 30 0.0 10 26.76663779817537 20 8.522066823633251 30 0.0 10 23.91924045454146 20 8.68291691291006 30 0.0 10 22.60107146746498 20 3.068898033715176 30 0.0 10 21.74059865342721 20 -1.530211902111393 30 0.0 10 21.33792223055233 20 -5.114513112693793 30 0.0 10 21.70882950806849 20 -5.277668218826268 30 0.0 10 21.89423303776449 20 -5.359345990016671 30 0.0 10 21.89423303776449 20 -5.359345990016671 30 0.0 10 24.32161622304385 20 -4.351652751587867 30 0.0 10 26.53954352882881 20 -4.084571450701459 30 0.0 10 28.54811517324353 20 -4.558202305481625 30 0.0 10 32.89507630868354 20 -5.847107600303382 30 0.0 10 34.62333785982274 20 -9.222353803888033 30 0.0 10 33.73269939041282 20 -14.68374047998723 30 0.0 10 32.26620757957953 20 -17.6543058982138 30 0.0 10 30.18918695636354 20 -19.13783479015427 30 0.0 10 27.50173773888897 20 -19.13442737393282 30 0.0 10 25.11594507513601 20 -18.5262035784058 30 0.0 10 23.45583184842561 20 -17.67404886867331 30 0.0 10 22.52159849500609 20 -16.57786302661123 30 0.0 0 SPLINE 5 A5 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 29 73 25 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1111111111111111 40 0.1111111111111111 40 0.1111111111111111 40 0.2222222222222222 40 0.2222222222222222 40 0.2222222222222222 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.4444444444444444 40 0.4444444444444444 40 0.4444444444444444 40 0.5555555555555556 40 0.5555555555555556 40 0.5555555555555556 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 0.7777777777777778 40 0.7777777777777778 40 0.7777777777777778 40 1.0 40 1.0 40 1.0 40 1.0 10 59.52613865984449 20 10.41859460523709 30 0.0 10 57.80358954178242 20 10.50187586641404 30 0.0 10 56.54264510360129 20 10.48704358403838 30 0.0 10 55.74290447280448 20 10.37409775811004 30 0.0 10 55.71945343175106 20 21.05765044793853 30 0.0 10 55.79742313234753 20 27.70782451282365 30 0.0 10 55.97691379271808 20 30.32472017088956 30 0.0 10 60.99874377625153 20 28.20320270054653 30 0.0 10 64.71874032694656 20 24.46085750816382 30 0.0 10 67.13690344480321 20 19.09758437561725 30 0.0 10 68.74911240816513 20 14.63116323617852 30 0.0 10 68.45236654252736 20 10.44745742499516 30 0.0 10 66.24676606601409 20 6.546466942067179 30 0.0 10 63.9372393947469 20 3.665997617460451 30 0.0 10 60.55467726809857 20 1.974716554136292 30 0.0 10 56.09897946794499 20 1.472623752094688 30 0.0 10 55.67385418525824 20 3.125922146362203 30 0.0 10 55.61452505575554 20 5.054619945821406 30 0.0 10 55.9209920794368 20 7.258516714223969 30 0.0 10 57.10847663260864 20 7.229553676341727 30 0.0 10 58.32502444178689 20 7.259218241093093 30 0.0 10 59.57063550697153 20 7.347610626602217 30 0.0 10 59.64770324445057 20 8.535496052270691 30 0.0 10 59.63287096207489 20 9.55912397244093 30 0.0 10 59.52613865984449 20 10.41859460523709 30 0.0 0 SPLINE 5 A6 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 32 73 28 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1 40 0.1 40 0.1 40 0.2 40 0.2 40 0.2 40 0.3 40 0.3 40 0.3 40 0.4 40 0.4 40 0.4 40 0.5 40 0.5 40 0.5 40 0.6 40 0.6 40 0.6 40 0.7 40 0.7 40 0.7 40 0.8 40 0.8 40 0.8 40 1.0 40 1.0 40 1.0 40 1.0 10 33.10062368133569 20 19.95414868281277 30 0.0 10 32.99098505350464 20 22.81196871135933 30 0.0 10 33.55481222002881 20 24.93348618170237 30 0.0 10 34.79180452653569 20 26.31890153009022 30 0.0 10 36.96934392828418 20 30.41802324448254 30 0.0 10 41.33113734609985 20 32.43571473819581 30 0.0 10 47.87698434373441 20 32.3718757931059 30 0.0 10 50.41310419372736 20 32.26354000088894 30 0.0 10 52.25280829893249 20 31.90746500574845 30 0.0 10 53.39599644122559 20 31.30375102580861 30 0.0 10 50.96891391031873 20 25.84887852777982 30 0.0 10 46.57755614587585 20 18.40136906707773 30 0.0 10 40.22172271164865 20 8.960821771205731 30 0.0 10 40.59232933479234 20 8.207883004391647 30 0.0 10 40.77041694142464 20 7.584826926488928 30 0.0 10 40.75588531342144 20 7.091553319373405 30 0.0 10 44.19827766019328 20 7.130037079050853 30 0.0 10 48.02590847623617 20 7.219130991429088 30 0.0 10 52.23887797967426 20 7.358534402135648 30 0.0 10 52.42909197932994 20 6.803125558040939 30 0.0 10 52.48842110883264 20 4.859495258081884 30 0.0 10 52.41686536818241 20 1.527944156631017 30 0.0 10 46.46761686367232 20 2.666522265212772 30 0.0 10 42.09109138160512 20 4.713878323677414 30 0.0 10 39.28718870385666 20 7.670012332024932 30 0.0 10 35.1345505111629 20 11.75941288837372 30 0.0 10 33.07236217032257 20 15.85422522342716 30 0.0 10 33.10062368133569 20 19.95414868281277 30 0.0 0 SPLINE 5 A7 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 29 73 25 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1111111111111111 40 0.1111111111111111 40 0.1111111111111111 40 0.2222222222222222 40 0.2222222222222222 40 0.2222222222222222 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.4444444444444444 40 0.4444444444444444 40 0.4444444444444444 40 0.5555555555555556 40 0.5555555555555556 40 0.5555555555555556 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 0.7777777777777778 40 0.7777777777777778 40 0.7777777777777778 40 1.0 40 1.0 40 1.0 40 1.0 10 66.79034917145793 20 56.98143836183549 30 0.0 10 66.91131244731906 20 59.16278605230207 30 0.0 10 65.90341877264194 20 60.82540473211645 30 0.0 10 63.76666814742659 20 61.96939461940288 30 0.0 10 69.8210454641805 20 60.25646644126014 30 0.0 10 73.72273747397762 20 57.85303538765503 30 0.0 10 75.47224526743871 20 54.75910145858751 30 0.0 10 78.04905367584064 20 50.90040302405502 30 0.0 10 78.37546410622977 20 46.92434916613117 30 0.0 10 76.45147655860609 20 42.83104010294014 30 0.0 10 74.83485799778114 20 39.62806885478653 30 0.0 10 71.58568619438975 20 37.00215356554621 30 0.0 10 66.70416158468032 20 34.95329423521918 30 0.0 10 64.04066449888002 20 34.04281257722558 30 0.0 10 61.37025236251266 20 33.65707301733374 30 0.0 10 58.69282495745409 20 33.79597533741949 30 0.0 10 62.72189420305857 20 34.5902039713875 30 0.0 10 65.70388448743938 20 36.72655372410622 30 0.0 10 67.63889602872065 20 40.20512481369981 30 0.0 10 68.735883615776 20 42.63852108642877 30 0.0 10 68.76554818052736 20 44.93812616340414 30 0.0 10 67.72788972297474 20 47.10383982650174 30 0.0 10 66.47696709720961 20 48.75783974763837 30 0.0 10 64.83018288101249 20 49.98911962106813 30 0.0 10 62.78753707438338 20 50.79787988303934 30 0.0 0 SPLINE 5 A8 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 8 73 4 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 1.0 40 1.0 40 1.0 40 1.0 10 62.78753707438338 20 50.79787988303934 30 0.0 10 65.38348714449985 20 52.94174599507005 30 0.0 10 66.71769103144193 20 55.00303237279294 30 0.0 10 66.79034917145793 20 56.98143836183549 30 0.0 0 SPLINE 5 A9 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 65 73 61 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.0476190476190476 40 0.0476190476190476 40 0.0476190476190476 40 0.0952380952380952 40 0.0952380952380952 40 0.0952380952380952 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.1904761904761905 40 0.1904761904761905 40 0.1904761904761905 40 0.2380952380952381 40 0.2380952380952381 40 0.2380952380952381 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.3809523809523809 40 0.3809523809523809 40 0.3809523809523809 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.4761904761904762 40 0.4761904761904762 40 0.4761904761904762 40 0.5238095238095238 40 0.5238095238095238 40 0.5238095238095238 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.6190476190476191 40 0.6190476190476191 40 0.6190476190476191 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 0.7619047619047619 40 0.7619047619047619 40 0.7619047619047619 40 0.8095238095238095 40 0.8095238095238095 40 0.8095238095238095 40 0.8571428571428571 40 0.8571428571428571 40 0.8571428571428571 40 0.9047619047619048 40 0.9047619047619048 40 0.9047619047619048 40 1.0 40 1.0 40 1.0 40 1.0 10 52.13966203675584 20 60.46792668323775 30 0.0 10 52.09015428342082 20 58.59104165396926 30 0.0 10 52.09386235401475 20 57.00749507411709 30 0.0 10 52.15088646666177 20 55.71698628930878 30 0.0 10 52.71461341506176 20 55.6963413557318 30 0.0 10 53.16719846376832 20 55.68902543266815 30 0.0 10 53.50844117653314 20 55.69473786574525 30 0.0 10 53.89738771639809 20 58.84278958185917 30 0.0 10 55.48484280309248 20 60.4895737980563 30 0.0 10 58.27070621849217 20 60.63509051433662 30 0.0 10 60.45315630832449 20 60.74482936029182 30 0.0 10 62.0035306890797 20 59.85459176337852 30 0.0 10 62.92192957888193 20 57.96457815984509 30 0.0 10 63.76336094932928 20 55.73612795102333 30 0.0 10 63.30345997755906 20 53.79259786918846 30 0.0 10 61.54212644544705 20 52.13398791434047 30 0.0 10 59.90305902481026 20 50.76510855643902 30 0.0 10 57.74446084852802 20 49.85272275408636 30 0.0 10 55.06623169847617 20 49.39683050728253 30 0.0 10 55.23509923768577 20 48.97441111394814 30 0.0 10 55.33140885500353 20 48.54427492505341 30 0.0 10 55.35546120480193 20 48.1061212862259 30 0.0 10 58.39798323617538 20 48.73458914283326 30 0.0 10 60.79399814859265 20 48.41569507175612 30 0.0 10 62.54350594205377 20 47.14913841862206 30 0.0 10 64.64467913319231 20 45.54685104955198 30 0.0 10 65.20840608159234 20 43.02466151881725 30 0.0 10 64.2345865691296 20 39.5827702626662 30 0.0 10 63.06955087576961 20 37.05847615132411 30 0.0 10 61.34860524769408 20 35.75283442976765 30 0.0 10 59.07174968490305 20 35.6660455342451 30 0.0 10 56.71862812962625 20 35.64219362069501 30 0.0 10 54.62677522403457 20 36.45816958760574 30 0.0 10 52.79609075000386 20 38.11387321685309 30 0.0 10 51.52191751943361 20 37.85210347654716 30 0.0 10 50.85436459440385 20 37.1845505515174 30 0.0 10 50.79323153866625 20 36.11111422363966 30 0.0 10 50.8497545606925 20 35.53836764406525 30 0.0 10 50.92391597257088 20 35.18229264892477 30 0.0 10 51.01571577430144 20 35.04288923821822 30 0.0 10 45.33004093633217 20 37.54814190596989 30 0.0 10 41.91781424493249 20 40.91587175024252 30 0.0 10 40.77903570010243 20 45.14607877103613 30 0.0 10 39.91214892611842 20 49.38941436609471 30 0.0 10 40.96544141104002 20 53.1280514878835 30 0.0 10 43.93901337299137 20 56.3620903545267 30 0.0 10 45.64422475557377 20 58.12262214164541 30 0.0 10 47.33550581889793 20 59.40731827525246 30 0.0 10 49.01245569046722 20 60.21607853722365 30 0.0 10 49.91942971411522 20 60.65333021293375 30 0.0 10 52.12312604626945 20 61.50037379833407 30 0.0 10 53.0878257094336 20 61.72155519835516 30 0.0 10 53.46334302066113 20 61.80764256700863 30 0.0 10 53.84467298308994 20 61.8645664615315 30 0.0 10 54.23141472422336 20 61.89222666379966 30 0.0 10 54.27270459137728 20 61.6776596599731 30 0.0 10 54.25406402028352 20 61.52572898374655 30 0.0 10 54.17579366531459 20 61.43593354449916 30 0.0 10 53.10215690118849 20 61.07765375062718 30 0.0 10 52.42357998250114 20 60.75495139083199 30 0.0 10 52.13966203675584 20 60.46792668323775 30 0.0 0 SPLINE 5 AA 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 26 73 22 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.125 40 0.125 40 0.125 40 0.25 40 0.25 40 0.25 40 0.375 40 0.375 40 0.375 40 0.5 40 0.5 40 0.5 40 0.625 40 0.625 40 0.625 40 0.75 40 0.75 40 0.75 40 1.0 40 1.0 40 1.0 40 1.0 10 54.64361186889346 20 94.75004150526975 30 0.0 10 56.64456693587202 20 94.26127771374144 30 0.0 10 58.12819604593663 20 93.29697892307392 30 0.0 10 59.09439898096321 20 91.8571451332672 30 0.0 10 60.76794143631106 20 89.60213712154302 30 0.0 10 60.72344458918401 20 86.21646823304573 30 0.0 10 58.9609084395821 20 81.70013846777533 30 0.0 10 56.90082467934914 20 77.39917745132479 30 0.0 10 53.80007591783874 20 73.08498764248509 30 0.0 10 49.65876237317504 20 68.7575690412563 30 0.0 10 52.09135690091074 20 68.65654917210303 30 0.0 10 55.71133576369409 20 68.62688460735166 30 0.0 10 60.51869896152513 20 68.66857534700222 30 0.0 10 63.08829166498754 20 70.48202230367743 30 0.0 10 65.10598315870081 20 72.91521814015806 30 0.0 10 66.57167322454082 20 75.96786220207164 30 0.0 10 68.73387925329281 20 80.35791713090047 30 0.0 10 68.82287294754688 20 84.42296468307839 30 0.0 10 66.8387545254272 20 88.16290464048127 30 0.0 10 64.85022650584449 20 92.19147279546495 30 0.0 10 60.78507873554241 20 94.38725189581055 30 0.0 10 54.64361186889346 20 94.75004150526975 30 0.0 0 SPLINE 5 AB 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 41 73 37 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.0769230769230769 40 0.0769230769230769 40 0.0769230769230769 40 0.1538461538461539 40 0.1538461538461539 40 0.1538461538461539 40 0.2307692307692308 40 0.2307692307692308 40 0.2307692307692308 40 0.3076923076923077 40 0.3076923076923077 40 0.3076923076923077 40 0.3846153846153846 40 0.3846153846153846 40 0.3846153846153846 40 0.4615384615384616 40 0.4615384615384616 40 0.4615384615384616 40 0.5384615384615384 40 0.5384615384615384 40 0.5384615384615384 40 0.6153846153846154 40 0.6153846153846154 40 0.6153846153846154 40 0.6923076923076923 40 0.6923076923076923 40 0.6923076923076923 40 0.7692307692307693 40 0.7692307692307693 40 0.7692307692307693 40 0.8461538461538461 40 0.8461538461538461 40 0.8461538461538461 40 1.0 40 1.0 40 1.0 40 1.0 10 47.83379033222145 20 86.96118911367871 30 0.0 10 48.12562550977536 20 88.85521144217856 30 0.0 10 48.28517276343808 20 90.50951201768767 30 0.0 10 48.31253231133377 20 91.92389040395776 30 0.0 10 52.39972806895105 20 93.49631277202815 30 0.0 10 54.89215281681025 20 92.36885887522814 30 0.0 10 55.78990677303553 20 88.54132827730942 30 0.0 10 56.56529439966144 20 84.88978070541566 30 0.0 10 55.64549245612098 20 80.85449793611329 30 0.0 10 53.03040072428993 20 76.4352795331539 30 0.0 10 50.75655170522369 20 73.0970138173843 30 0.0 10 48.02671022122945 20 69.86277451449278 30 0.0 10 44.84097649043137 20 66.73256162447933 30 0.0 10 44.63242257405442 20 66.42128413083837 30 0.0 10 44.79938596890496 20 65.88351367659581 30 0.0 10 45.34166623873473 20 65.11915004362749 30 0.0 10 48.23456261073727 20 65.14570784652989 30 0.0 10 51.20913675393024 20 65.16414798137534 30 0.0 10 54.26538866831361 20 65.1747711025363 30 0.0 10 51.56200476909761 20 63.83305085628223 30 0.0 10 48.33518160739392 20 63.4324790140147 30 0.0 10 44.58491918320259 20 63.97305557573372 30 0.0 10 39.59375594566208 20 64.54610280968063 30 0.0 10 36.04793849475715 20 66.9421177220979 30 0.0 10 33.94746683048769 20 71.16089987673726 30 0.0 10 32.39929724846401 20 75.78546521610048 30 0.0 10 33.00742082586689 20 80.19165526291903 30 0.0 10 35.77223843519297 20 84.37977067156541 30 0.0 10 38.74260341717122 20 88.57229567767487 30 0.0 10 42.09550097906816 20 91.37629857354752 30 0.0 10 45.83093112088385 20 92.79167914105918 30 0.0 10 45.81870450973634 20 90.50550329272126 30 0.0 10 45.87813385736321 20 88.59173799376192 30 0.0 10 46.00901872751616 20 87.0501828079328 30 0.0 10 47.11232005639361 20 87.06000418410048 30 0.0 10 47.72054385192067 20 87.03033961934912 30 0.0 10 47.83379033222145 20 86.96118911367871 30 0.0 0 SPLINE 5 AC 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 39 73 35 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.0714285714285714 40 0.0714285714285714 40 0.0714285714285714 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2142857142857143 40 0.2142857142857143 40 0.2142857142857143 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.3571428571428572 40 0.3571428571428572 40 0.3571428571428572 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5 40 0.5 40 0.5714285714285714 40 0.5714285714285714 40 0.6428571428571429 40 0.6428571428571429 40 0.7142857142857143 40 0.7142857142857143 40 0.7857142857142857 40 0.7857142857142857 40 0.8571428571428571 40 0.8571428571428571 40 0.8571428571428571 40 1.0 40 1.0 40 1.0 40 1.0 10 12.88442323953217 20 93.52617777302783 30 0.0 10 12.09229918617153 20 97.46274569003265 30 0.0 10 12.15172853379841 20 100.7118172752998 30 0.0 10 13.06261106428865 20 103.2732923107053 30 0.0 10 14.68895078315713 20 109.7001803949619 30 0.0 10 18.49232881315329 20 114.5405153556416 30 0.0 10 24.47244449990465 20 117.7943974108685 30 0.0 10 26.86244632487233 20 119.0946273537203 30 0.0 10 28.05985247233601 20 119.5852952896077 30 0.0 10 30.81514935986689 20 119.5871994339667 30 0.0 10 35.64646468937218 20 119.5907070683123 30 0.0 10 39.34270954464129 20 117.3573461714067 30 0.0 10 41.90348305317761 20 112.8869163070016 30 0.0 10 44.3357769265408 20 106.9546046654746 30 0.0 10 43.77194976001665 20 100.9015301843347 30 0.0 10 40.21220198985345 20 94.7278932998304 30 0.0 10 38.3587680016384 20 91.16513898594236 30 0.0 10 35.33228087013057 20 88.31663924294271 30 0.0 10 31.13264037720578 20 86.18239407083135 30 0.0 10 31.13264037720578 20 86.18239407083135 30 0.0 10 31.13274059532992 20 113.9995379214259 30 0.0 10 31.13274059532992 20 113.9995379214259 30 0.0 10 30.01991854465729 20 113.955141292423 30 0.0 10 30.01991854465729 20 113.955141292423 30 0.0 10 24.05593797589569 20 110.4834852533965 30 0.0 10 24.05593797589569 20 110.4834852533965 30 0.0 10 24.41201297103618 20 108.7922041900723 30 0.0 10 24.41201297103618 20 108.7922041900723 30 0.0 10 28.19504672182785 20 109.5042539622291 30 0.0 10 28.19504672182785 20 109.5042539622291 30 0.0 10 28.19504672182785 20 84.80269115552062 30 0.0 10 28.19504672182785 20 84.80269115552062 30 0.0 10 20.43365409625665 20 83.27777217830206 30 0.0 10 15.33014634153281 20 86.18560105080445 30 0.0 10 12.88442323953217 20 93.52617777302783 30 0.0 0 SPLINE 5 AD 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 7.899874398186245 20 98.73381215875392 30 0.0 10 3.953485105013768 20 98.73381215875392 30 0.0 10 1.505657422405767 20 98.73381215875392 30 0.0 10 0.5562911322380852 20 98.73381215875392 30 0.0 10 4.98773614634497 20 103.3017542579667 30 0.0 10 7.880832954595844 20 107.3371372453933 30 0.0 10 9.235280902618248 20 110.8398609029095 30 0.0 10 9.785779058629124 20 112.0868750218323 30 0.0 10 10.20108296514817 20 113.6743301085267 30 0.0 10 10.48149327654785 20 115.6022261629926 30 0.0 10 11.46643700079233 20 110.1932535658291 30 0.0 10 11.14012678852737 20 105.6831373242566 30 0.0 10 9.502261985380493 20 102.0718774382752 30 0.0 10 9.02572480499969 20 100.6335469203309 30 0.0 10 8.49156220322689 20 99.52082508778238 30 0.0 10 7.899874398186245 20 98.73381215875392 30 0.0 0 SPLINE 5 AE 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 77 73 73 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.04 40 0.04 40 0.04 40 0.08 40 0.08 40 0.08 40 0.12 40 0.12 40 0.12 40 0.16 40 0.16 40 0.16 40 0.2 40 0.2 40 0.2 40 0.24 40 0.24 40 0.24 40 0.28 40 0.28 40 0.28 40 0.32 40 0.32 40 0.32 40 0.36 40 0.36 40 0.36 40 0.4 40 0.4 40 0.4 40 0.44 40 0.44 40 0.44 40 0.48 40 0.48 40 0.48 40 0.52 40 0.52 40 0.52 40 0.56 40 0.56 40 0.56 40 0.6 40 0.6 40 0.6 40 0.64 40 0.64 40 0.64 40 0.68 40 0.68 40 0.68 40 0.72 40 0.72 40 0.72 40 0.76 40 0.76 40 0.76 40 0.8 40 0.8 40 0.8 40 0.84 40 0.84 40 0.84 40 0.88 40 0.88 40 0.88 40 0.92 40 0.92 40 0.92 40 1.0 40 1.0 40 1.0 40 1.0 10 -10.48113175399935 20 94.37201874093823 30 0.0 10 -14.53305073191232 20 97.58260656652799 30 0.0 10 -16.8323551545152 20 102.0183609599738 30 0.0 10 -17.37924545805631 20 107.6793821393997 30 0.0 10 -18.21877268414462 20 115.4770537259168 30 0.0 10 -15.99332901904767 20 121.6191219013107 30 0.0 10 -10.70311489901375 20 126.1054864474573 30 0.0 10 -6.560097646239358 20 129.213952004528 30 0.0 10 -2.228069011299192 20 129.6589204757984 30 0.0 10 2.293171442055044 20 127.440692515641 30 0.0 10 4.771565652531845 20 125.8948279504729 30 0.0 10 6.433182151104646 20 124.4409636232838 30 0.0 10 7.278020937773451 20 123.0789993159494 30 0.0 10 3.758560853522569 20 124.2608716541683 30 0.0 10 0.3462339439987288 20 123.9047966590278 30 0.0 10 -2.958759354549756 20 122.010774330528 30 0.0 10 -2.911255963697917 20 119.7553654463072 30 0.0 10 -2.85192683419519 20 117.871164493975 30 0.0 10 -2.780671747917431 20 116.3583719097798 30 0.0 10 -2.097785449891195 20 116.3411343924243 30 0.0 10 -1.504293718615676 20 116.3559666748 30 0.0 10 -1.000296772215032 20 116.4028687569069 30 0.0 10 -0.7702961772678343 20 118.7886614206599 30 0.0 10 -0.5478119416326366 20 120.287022594976 30 0.0 10 -0.3327438471852742 20 120.8981527161037 30 0.0 10 1.458053813429772 20 121.9227828175156 30 0.0 10 3.164167159129609 20 121.9079505351399 30 0.0 10 4.785596189914248 20 120.8535556508525 30 0.0 10 6.462245407111048 20 119.4177305860121 30 0.0 10 7.129798332140806 20 117.3258776804205 30 0.0 10 6.788455401251845 20 114.5779969340774 30 0.0 10 6.613274120220165 20 111.3548818429677 30 0.0 10 5.188974139658251 20 107.9425549334438 30 0.0 10 2.515755895814407 20 104.3413168598784 30 0.0 10 0.5081864326412902 20 101.6680986160346 30 0.0 10 -1.019939524550397 20 99.75433331707518 30 0.0 10 -2.068621975760634 20 98.59972030862785 30 0.0 10 -2.911957490567037 20 97.51385693335423 30 0.0 10 -3.535113786593913 20 96.84620379020032 30 0.0 10 -3.937890427592953 20 96.59686109729023 30 0.0 10 -3.679427885384316 20 95.99936064104831 30 0.0 10 -3.47167571400063 20 95.55439216977793 30 0.0 10 -3.314734131566075 20 95.26165502910655 30 0.0 10 2.000835173880327 20 95.3644788244947 30 0.0 10 4.775073286877446 20 95.3644788244947 30 0.0 10 5.00818064367361 20 95.26165502910655 30 0.0 10 2.951804954034571 20 93.47526696595455 30 0.0 10 0.889616613194248 20 92.37747763390593 30 0.0 10 -1.178384378847358 20 91.96808659671231 30 0.0 10 -3.70518394329343 20 91.70250856768831 30 0.0 10 -5.797036848885114 20 91.9249928033235 30 0.0 10 -7.454043313746558 20 92.63573973986622 30 0.0 10 -7.493228600293111 20 105.780449122816 30 0.0 10 -7.508161100792956 20 114.9341721473421 30 0.0 10 -7.498740597121916 20 120.0970090315686 30 0.0 10 -8.299884281656954 20 120.0970090315686 30 0.0 10 -8.774717753927037 20 120.0970090315686 30 0.0 10 -8.922940359559673 20 120.0970090315686 30 0.0 10 -12.64283669213056 20 118.2182198579411 30 0.0 10 -14.6011990563411 20 117.2094242201465 30 0.0 10 -14.79802745219135 20 117.0705219000608 30 0.0 10 -14.54688083304639 20 116.4276226335744 30 0.0 10 -14.41339029166527 20 115.8935602499257 30 0.0 10 -14.39745560992382 20 115.4682345309907 30 0.0 10 -12.70527258348223 20 115.7595686179238 30 0.0 10 -11.45895999142848 20 115.982052853559 30 0.0 10 -10.65871827001088 20 116.1357874560205 30 0.0 10 -10.52542816487808 20 115.7946449613798 30 0.0 10 -10.45868289418752 20 115.6240737140595 30 0.0 10 -10.45868289418752 20 115.6240737140595 30 0.0 10 -10.55749796460928 20 102.731312695248 30 0.0 10 -10.56501432392128 20 95.64729437087423 30 0.0 10 -10.48113175399935 20 94.37201874093823 30 0.0 0 SPLINE 5 AF 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -28.86113572494336 20 130.734762038656 30 0.0 10 -26.84174052311934 20 127.9263495453203 30 0.0 10 -24.89821044128446 20 124.4547937244179 30 0.0 10 -22.94155178518462 20 121.2100315184896 30 0.0 10 -22.73750768439488 20 126.8474012206137 30 0.0 10 -22.66334627251647 20 132.1586611467213 30 0.0 10 -22.80806124380351 20 136.2537741361472 30 0.0 10 -23.16443689331646 20 137.9281183364883 30 0.0 10 -23.60860361959359 20 139.3388888702886 30 0.0 10 -24.14036098638656 20 140.485985519424 30 0.0 10 -27.10972378712319 20 146.8906251801171 30 0.0 10 -33.39891216878401 20 142.9746019785651 30 0.0 10 -31.27939906092415 20 136.2054690003021 30 0.0 10 -30.800757299936 20 134.677142606862 30 0.0 10 -29.99470292731709 20 132.8534734015226 30 0.0 10 -28.86113572494336 20 130.734762038656 30 0.0 0 SPLINE 5 B0 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 32 73 28 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1 40 0.1 40 0.1 40 0.2 40 0.2 40 0.2 40 0.3 40 0.3 40 0.3 40 0.4 40 0.4 40 0.4 40 0.5 40 0.5 40 0.5 40 0.6 40 0.6 40 0.6 40 0.7 40 0.7 40 0.7 40 0.8 40 0.8 40 0.8 40 1.0 40 1.0 40 1.0 40 1.0 10 -57.96908901471872 20 107.5907893176422 30 0.0 10 -54.92496349335872 20 102.2706099784845 30 0.0 10 -52.2544511388672 20 98.62096655094973 30 0.0 10 -49.95775238749249 20 96.64185903503807 30 0.0 10 -52.71916258059711 20 104.285294928473 30 0.0 10 -52.63016888634304 20 110.3086046267372 30 0.0 10 -49.69067108660607 20 114.7119885660793 30 0.0 10 -46.97475992187009 20 119.4011945955258 30 0.0 10 -43.0283706286976 20 121.6563028253741 30 0.0 10 -37.8516034252128 20 121.477112819376 30 0.0 10 -33.63893457614719 20 121.4684940606982 30 0.0 10 -29.3365705059584 20 119.6584545202445 30 0.0 10 -24.94441099652223 20 116.0472948523872 30 0.0 10 -23.48483423625598 20 114.8249343920077 30 0.0 10 -22.47593838033726 20 113.7270448418349 30 0.0 10 -21.9179238650144 20 112.753726419993 30 0.0 10 -24.49503292778878 20 121.3465286035955 30 0.0 10 -27.90725961918847 20 126.8358761363354 30 0.0 10 -32.15470415733759 20 129.2215685819642 30 0.0 10 -38.54671633438657 20 133.1507203577811 30 0.0 10 -44.72095452763585 20 133.5560024518842 30 0.0 10 -51.06095349824575 20 129.6406807772013 30 0.0 10 -54.78616139139713 20 127.339873082736 30 0.0 10 -57.0889734483456 20 124.559421446041 30 0.0 10 -57.96908901471872 20 121.299225648992 30 0.0 10 -60.25195766495935 20 116.0727502559238 30 0.0 10 -59.40170709958593 20 111.3365419262464 30 0.0 10 -57.96908901471872 20 107.5907893176422 30 0.0 0 SPLINE 5 B1 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -67.7607003995232 20 107.5907893176422 30 0.0 10 -63.47427101107587 20 105.3015067074554 30 0.0 10 -59.85429214829249 20 103.3134797784934 30 0.0 10 -56.90096424742144 20 101.6267085307565 30 0.0 10 -61.61221826418304 20 110.4237552513971 30 0.0 10 -64.57937626618816 20 115.2900467062342 30 0.0 10 -65.80243825343683 20 116.2252822408954 30 0.0 10 -68.48116849410947 20 118.7507789697274 30 0.0 10 -70.70661215920644 20 119.5519226542624 30 0.0 10 -72.47856881247938 20 118.6287132945005 30 0.0 10 -74.17095227516931 20 117.3784921956045 30 0.0 10 -74.61602096456386 20 115.7762048265344 30 0.0 10 -73.81387509878722 20 113.8218511872902 30 0.0 10 -72.89437380961921 20 111.6270742681862 30 0.0 10 -70.87668231590594 20 109.5499534268461 30 0.0 10 -67.7607003995232 20 107.5907893176422 30 0.0 0 SPLINE 5 B2 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 41 73 37 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.0769230769230769 40 0.0769230769230769 40 0.0769230769230769 40 0.1538461538461539 40 0.1538461538461539 40 0.1538461538461539 40 0.2307692307692308 40 0.2307692307692308 40 0.2307692307692308 40 0.3076923076923077 40 0.3076923076923077 40 0.3076923076923077 40 0.3846153846153846 40 0.3846153846153846 40 0.3846153846153846 40 0.4615384615384616 40 0.4615384615384616 40 0.4615384615384616 40 0.5384615384615384 40 0.5384615384615384 40 0.5384615384615384 40 0.6153846153846154 40 0.6153846153846154 40 0.6153846153846154 40 0.6923076923076923 40 0.6923076923076923 40 0.6923076923076923 40 0.7692307692307693 40 0.7692307692307693 40 0.7692307692307693 40 0.8461538461538461 40 0.8461538461538461 40 0.8461538461538461 40 1.0 40 1.0 40 1.0 40 1.0 10 -75.34911654279428 20 69.45498696728575 30 0.0 10 -72.69995064874884 20 68.18893140477245 30 0.0 10 -70.25933867108034 20 67.28155650862782 30 0.0 10 -68.02768148228547 20 66.73276206072767 30 0.0 10 -72.53879990509954 20 70.56861076295168 30 0.0 10 -75.30842798438532 20 74.3803068972531 30 0.0 10 -76.33606462952193 20 78.16785046363198 30 0.0 10 -77.1421190021408 20 81.1390171906035 30 0.0 10 -76.8723318119021 20 85.58329012460287 30 0.0 10 -75.54353970366465 20 88.37065681186493 30 0.0 10 -74.56711451997377 20 90.41861417907455 30 0.0 10 -72.95189901288708 20 92.34500696167808 30 0.0 10 -70.69809361865283 20 94.14953450530304 30 0.0 10 -67.45834231893248 20 96.17674672081151 30 0.0 10 -63.60104693813824 20 96.94822584059519 30 0.0 10 -59.12610725814592 20 96.46387164652991 30 0.0 10 -56.23862266484799 20 96.08294255659776 30 0.0 10 -53.80562726461568 20 95.48955104344638 30 0.0 10 -51.82682040307648 20 94.68359688895167 30 0.0 10 -55.89066533776449 20 98.98455790540223 30 0.0 10 -60.48967505546689 20 101.8923867779046 30 0.0 10 -65.62425042868033 20 103.4070835064589 30 0.0 10 -70.39583575618627 20 104.5097835265914 30 0.0 10 -74.80523278297795 20 103.9964662946438 30 0.0 10 -78.85264194530372 20 101.867532683113 30 0.0 10 -82.63517460547457 20 99.87800248228864 30 0.0 10 -84.37025098905666 20 97.56206185107519 30 0.0 10 -86.04619867938433 20 93.61948084662077 30 0.0 10 -87.49084293915072 20 90.22148512885184 30 0.0 10 -87.95304892777663 20 86.68919712470847 30 0.0 10 -87.43301708151041 20 83.0226168341907 30 0.0 10 -86.59078396606976 20 80.11007770985277 30 0.0 10 -85.00192582563713 20 77.3497699161139 30 0.0 10 -82.66654287833664 20 74.74189388922238 30 0.0 10 -80.17973034543043 20 71.96494988687294 30 0.0 10 -78.66493339875201 20 71.03933529213117 30 0.0 10 -75.34911654279428 20 69.45498696728575 30 0.0 0 SPLINE 5 B3 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 29 73 25 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1111111111111111 40 0.1111111111111111 40 0.1111111111111111 40 0.2222222222222222 40 0.2222222222222222 40 0.2222222222222222 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.4444444444444444 40 0.4444444444444444 40 0.4444444444444444 40 0.5555555555555556 40 0.5555555555555556 40 0.5555555555555556 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 0.7777777777777778 40 0.7777777777777778 40 0.7777777777777778 40 1.0 40 1.0 40 1.0 40 1.0 10 -89.61396389948032 20 67.57840259238975 30 0.0 10 -84.74466590091842 20 67.3750600184691 30 0.0 10 -80.42736933022978 20 67.44922143034749 30 0.0 10 -76.66217440553856 20 67.80098704614909 30 0.0 10 -79.7440821597069 20 69.68729257908863 30 0.0 10 -82.13618856528196 20 71.15147937306621 30 0.0 10 -83.83829318601538 20 72.19324677370942 30 0.0 10 -85.89066015068802 20 73.44948096005503 30 0.0 10 -87.25583143799552 20 74.37118704795454 30 0.0 10 -89.50592876163587 20 75.21522408963006 30 0.0 10 -90.87150092144 20 75.72763935846014 30 0.0 10 -92.12512943655746 20 76.05324804385597 30 0.0 10 -93.26611278011904 20 76.1917494914451 30 0.0 10 -95.13918952066946 20 76.41904419704 30 0.0 10 -96.89390865658689 20 76.29768004868221 30 0.0 10 -98.15465265851971 20 74.74209432547069 30 0.0 10 -98.78532531385858 20 73.96400080949246 30 0.0 10 -98.96391401111171 20 73.05752787646527 30 0.0 10 -98.69071940465153 20 72.02297618076159 30 0.0 10 -98.12749354687233 20 69.89023428051262 30 0.0 10 -96.46647835704451 20 69.0136263484851 30 0.0 10 -94.4831616799181 20 68.32693176174078 30 0.0 10 -93.03631262142017 20 67.82604157718912 30 0.0 10 -91.41328010064898 20 67.5764984480307 30 0.0 10 -89.61396389948032 20 67.57840259238975 30 0.0 0 SPLINE 5 B4 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 32 73 28 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1 40 0.1 40 0.1 40 0.2 40 0.2 40 0.2 40 0.3 40 0.3 40 0.3 40 0.4 40 0.4 40 0.4 40 0.5 40 0.5 40 0.5 40 0.6 40 0.6 40 0.6 40 0.7 40 0.7 40 0.7 40 0.8 40 0.8 40 0.8 40 1.0 40 1.0 40 1.0 40 1.0 10 -82.99145003686338 20 56.68389075120446 30 0.0 10 -86.18480034509761 20 52.26577474761085 30 0.0 10 -86.95337313928066 20 47.84114456594686 30 0.0 10 -85.29666732879168 20 43.41040107870909 30 0.0 10 -83.33499776648385 20 37.43419389879998 30 0.0 10 -77.93474414612226 20 33.57689851800573 30 0.0 10 -69.09580624958274 20 31.8384147182022 30 0.0 10 -75.32055437740868 20 30.21989201301821 30 0.0 10 -80.80980169202434 20 30.0715691892614 30 0.0 10 -85.56364841155391 20 31.39344624693182 30 0.0 10 -91.80142489552065 20 33.4988285992851 30 0.0 10 -95.86657266582274 20 38.03860940560894 30 0.0 10 -97.7587910680877 20 45.01268844777917 30 0.0 10 -98.51764270422723 20 51.43336300833791 30 0.0 10 -96.58904512289219 20 56.8336166286995 30 0.0 10 -91.97279788783426 20 61.21354952698813 30 0.0 10 -86.7651635021082 20 65.18288877059325 30 0.0 10 -80.56376619721155 20 66.51809483877695 30 0.0 10 -73.36860597314437 20 65.21916773153917 30 0.0 10 -71.50154232004357 20 65.03757249056126 30 0.0 10 -69.92891951572483 20 64.6814974954208 30 0.0 10 -68.65083777831232 20 64.15104296424191 30 0.0 10 -71.14606863364803 20 63.94329079285824 30 0.0 10 -73.70854585029508 20 63.19365922414144 30 0.0 10 -76.33796877388097 20 61.90204803996734 30 0.0 10 -79.30773244711428 20 60.44357367906686 30 0.0 10 -81.05693958620289 20 59.36021575689726 30 0.0 10 -82.99145003686338 20 56.68389075120446 30 0.0 0 SPLINE 5 B5 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -76.4841870170304 20 28.67843704531325 30 0.0 10 -79.35373256610367 20 26.68910728073725 30 0.0 10 -82.23189687385474 20 24.86423545790781 30 0.0 10 -85.11888037653186 20 23.20402201307326 30 0.0 10 -88.0724087136512 20 21.27261832426174 30 0.0 10 -91.09889584515905 20 20.13033214508604 30 0.0 10 -94.19834177105538 20 19.77696303929788 30 0.0 10 -96.9214686407309 20 19.73627448088892 30 0.0 10 -98.41982981504705 20 20.70067348968061 30 0.0 10 -98.69372594837634 20 22.66995962942461 30 0.0 10 -99.0490994166477 20 24.27184612599805 30 0.0 10 -98.36661399111811 20 25.65174947755709 30 0.0 10 -96.64626967178755 20 26.80916859348093 30 0.0 10 -94.24033316507844 20 27.99945925412926 30 0.0 10 -92.38569655937346 20 28.53362185590205 30 0.0 10 -91.0827607271693 20 28.41135574442685 30 0.0 0 SPLINE 5 B6 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 8 73 4 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 1.0 40 1.0 40 1.0 40 1.0 10 -76.4841870170304 20 28.67843704531325 30 0.0 10 -82.36177956276609 20 28.78817589126844 30 0.0 10 -87.2278705813549 20 28.69918219701438 30 0.0 10 -91.0827607271693 20 28.41135574442685 30 0.0 0 SPLINE 5 B7 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 -88.52228787300544 20 -16.2417314381786 30 0.0 10 -88.21461823183427 20 -17.8113476987725 30 0.0 10 -86.75003056536 20 -19.47556986857347 30 0.0 10 -84.26181497871555 20 -19.70186239292675 30 0.0 10 -81.4150189438266 20 -20.04019878009091 30 0.0 10 -78.12145051143236 20 -19.17962574792898 30 0.0 10 -74.381209899657 20 -17.12034373268931 30 0.0 10 -69.58266589675203 20 -14.44462003574147 30 0.0 10 -66.11100985772546 20 -12.01162463550915 30 0.0 10 -63.96634200070145 20 -9.821157095744034 30 0.0 10 -67.58010733978689 20 -11.88765481592323 30 0.0 10 -72.47586292312707 20 -12.45138176432323 30 0.0 10 -78.65380918697026 20 -11.51243815906819 30 0.0 10 -81.66335945549505 20 -10.9278658408429 30 0.0 10 -84.00375330900354 20 -11.07107754026755 30 0.0 10 -85.67489052937155 20 -11.94167238484546 30 0.0 10 -87.10700752361794 20 -12.68779631921668 30 0.0 10 -88.86854149197825 20 -14.47558743610691 30 0.0 10 -88.52228787300544 20 -16.2417314381786 30 0.0 0 SPLINE 5 B8 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 26 73 22 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.125 40 0.125 40 0.125 40 0.25 40 0.25 40 0.25 40 0.375 40 0.375 40 0.375 40 0.5 40 0.5 40 0.5 40 0.625 40 0.625 40 0.625 40 0.75 40 0.75 40 0.75 40 1.0 40 1.0 40 1.0 40 1.0 10 -84.35090889109378 20 -28.24736162192578 30 0.0 10 -82.9240032393037 20 -26.05398775656004 30 0.0 10 -81.41070956448772 20 -24.48146517036548 30 0.0 10 -79.81102786664579 20 -23.5295934270938 30 0.0 10 -75.17573918799746 20 -20.03127936704066 30 0.0 10 -70.87337511780864 20 -17.24220897166788 30 0.0 10 -66.90383543795522 20 -15.16208158660291 30 0.0 10 -69.61613875022147 20 -20.15685267661315 30 0.0 10 -71.75258872106434 20 -24.48898152967748 30 0.0 10 -73.31288469611138 20 -28.1583679276717 30 0.0 10 -74.55378550946051 20 -31.06479374643588 30 0.0 10 -76.21540200803331 20 -33.26047262865731 30 0.0 10 -78.29773419182978 20 -34.74540457433604 30 0.0 10 -80.22963897126209 20 -36.11809222095556 30 0.0 10 -81.85307236452994 20 -36.30159160629252 30 0.0 10 -83.16803437163328 20 -35.29610316659523 30 0.0 10 -83.61330349727618 20 -34.95566219882373 30 0.0 10 -84.59183326157441 20 -33.84314080252356 30 0.0 10 -84.86703223051778 20 -33.33914385612291 30 0.0 10 -85.54721263919167 20 -32.09293148219331 30 0.0 10 -85.37523833813314 20 -30.39563733141956 30 0.0 10 -84.35090889109378 20 -28.24736162192578 30 0.0 0 SPLINE 5 B9 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 -72.51184122970051 20 -32.87613612250372 30 0.0 10 -71.98048473540419 20 -30.63555952065859 30 0.0 10 -71.29799930987458 20 -28.73652628595076 30 0.0 10 -70.46448517123586 20 -27.17913663650437 30 0.0 10 -69.94796095931522 20 -32.71568690572357 30 0.0 10 -69.14681727478018 20 -36.57298228651779 30 0.0 10 -68.06105411763075 20 -38.75102277888709 30 0.0 10 -66.35774687940739 20 -43.15931740631298 30 0.0 10 -65.85324884238594 20 -46.30456301495042 30 0.0 10 -66.54776044281473 20 -48.18675960479939 30 0.0 10 -67.47698289002626 20 -50.53216436451585 30 0.0 10 -68.99027656484225 20 -51.6299536965645 30 0.0 10 -71.08754124913858 20 -51.48032803719363 30 0.0 10 -73.74702960997247 20 -50.75174227455042 30 0.0 10 -74.90414807152386 20 -47.84391340204805 30 0.0 10 -74.55909707004096 20 -42.75684141968645 30 0.0 10 -74.19019415500803 20 -38.73117959030341 30 0.0 10 -73.50780894760258 20 -35.43761115790916 30 0.0 10 -72.51184122970051 20 -32.87613612250372 30 0.0 0 SPLINE 5 BA 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 17 73 13 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.2 40 0.2 40 0.2 40 0.4 40 0.4 40 0.4 40 0.6 40 0.6 40 0.6 40 1.0 40 1.0 40 1.0 40 1.0 10 -64.05543591307969 20 -56.9102462223066 30 0.0 10 -63.87313914523266 20 -53.88496170828866 30 0.0 10 -63.81381001572993 20 -50.63578990489732 30 0.0 10 -63.87734830644739 20 -47.16293124838081 30 0.0 10 -60.63819831547202 20 -52.02631637761733 30 0.0 10 -58.72433279838849 20 -56.16552534167363 30 0.0 10 -58.13595219144514 20 -59.58055814054978 30 0.0 10 -57.85163337320321 20 -62.6898254426138 30 0.0 10 -58.66750912198977 20 -64.55919411257028 30 0.0 10 -60.58377987405314 20 -65.18866415041924 30 0.0 10 -63.67340442378176 20 -65.25731356546882 30 0.0 10 -64.83062310345728 20 -62.49780751672323 30 0.0 10 -64.05543591307969 20 -56.9102462223066 30 0.0 0 SPLINE 5 BB 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -57.06782742414785 20 -55.66393363025283 30 0.0 10 -54.25981580330881 20 -56.4367155856506 30 0.0 10 -52.27178887434688 20 -55.57624277161281 30 0.0 10 -51.10394707351041 20 -53.08251518813957 30 0.0 10 -47.980649234064 20 -57.33156321627524 30 0.0 10 -43.52986212199424 20 -57.27223408677249 30 0.0 10 -37.75158573730113 20 -52.90442758150724 30 0.0 10 -40.22557035031487 20 -57.32184205823171 30 0.0 10 -42.77642226455937 20 -60.39452974497731 30 0.0 10 -45.40414148003457 20 -62.12249064174405 30 0.0 10 -47.1368126286368 20 -63.26167005907077 30 0.0 10 -48.243721809984 20 -63.69030297610308 30 0.0 10 -50.29628921090494 20 -63.24723864919174 30 0.0 10 -53.35665006837888 20 -62.58619990223235 30 0.0 10 -55.61386287883455 20 -60.05839815654467 30 0.0 10 -57.06782742414785 20 -55.66393363025283 30 0.0 0 SPLINE 5 BC 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -33.52398438773569 20 -48.94350666033154 30 0.0 10 -31.53926465687103 20 -44.78445450769155 30 0.0 10 -30.02597098205504 20 -41.38716031679174 30 0.0 10 -28.98410336328766 20 -38.75132343325957 30 0.0 10 -29.57448833271423 20 -45.52205990150914 30 0.0 10 -29.45583007370879 20 -52.4652717614381 30 0.0 10 -28.62802836814719 20 -59.58085879492228 30 0.0 10 -28.69126600449215 20 -66.17501092840195 30 0.0 10 -30.35288250306495 20 -69.08273958278016 30 0.0 10 -33.61287786386559 20 -68.30444563055363 30 0.0 10 -37.99661905087233 20 -67.3875500126138 30 0.0 10 -39.59880620181823 20 -64.65770852861955 30 0.0 10 -38.4196397529517 20 -60.11502139669507 30 0.0 10 -36.56400096600511 20 -56.12924638072771 30 0.0 10 -34.93204903218367 20 -52.40544154131459 30 0.0 10 -33.52398438773569 20 -48.94350666033154 30 0.0 0 SPLINE 5 BD 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 17 73 13 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.2 40 0.2 40 0.2 40 0.4 40 0.4 40 0.4 40 0.6 40 0.6 40 0.6 40 1.0 40 1.0 40 1.0 40 1.0 10 -40.37800211716224 20 -67.0583334747482 30 0.0 10 -41.81041976578112 20 -72.06422877654019 30 0.0 10 -42.40381127893248 20 -75.59521394506946 30 0.0 10 -42.15837709286464 20 -77.65118876221186 30 0.0 10 -41.6789335868832 20 -80.44456853692355 30 0.0 10 -40.40305664820225 20 -81.69078091085314 30 0.0 10 -38.33074627682176 20 -81.38972566587651 30 0.0 10 -36.52692026006594 20 -81.14318908044292 30 0.0 10 -35.84443483453632 20 -79.8969767065133 30 0.0 10 -36.28339021835714 20 -77.65118876221186 30 0.0 10 -37.23035127354495 20 -74.83836667141314 30 0.0 10 -38.59522190647999 20 -71.30738150288386 30 0.0 10 -40.37800211716224 20 -67.0583334747482 30 0.0 0 SPLINE 5 BE 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 8 73 4 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 1.0 40 1.0 40 1.0 40 1.0 10 -42.52537586353856 20 -82.11330052231172 30 0.0 10 -42.69815190959039 20 -83.35760875188228 30 0.0 10 -42.66848734483904 20 -84.36640438967684 30 0.0 10 -42.43638216928449 20 -85.13978765381955 30 0.0 0 SPLINE 5 BF 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 -35.28561857422015 20 -89.39023873569349 30 0.0 10 -34.03850423717311 20 -90.66801981873347 30 0.0 10 -33.15357820084031 20 -91.79627546052676 30 0.0 10 -32.63104090147009 20 -92.7751058791975 30 0.0 10 -32.11411581705281 20 -93.7434133948314 30 0.0 10 -31.95186267403776 20 -94.5657031035642 30 0.0 10 -32.14388059992832 20 -95.2413736966509 30 0.0 10 -32.25772838897407 20 -95.64214597516676 30 0.0 10 -32.54816051278975 20 -96.12419515237637 30 0.0 10 -32.94722908319488 20 -96.47856643940611 30 0.0 10 -33.26542162740287 20 -96.76118154953731 30 0.0 10 -33.6735098289824 20 -96.94327788113604 30 0.0 10 -33.9696543858752 20 -97.0848860905741 30 0.0 10 -35.66815115413887 20 -97.89695355064259 30 0.0 10 -37.62992093457088 20 -96.52667113900294 30 0.0 10 -39.85496372717121 20 -92.97313689253765 30 0.0 10 -41.32245771924609 20 -89.98563461132805 30 0.0 10 -42.18283031515968 20 -87.37455160446338 30 0.0 10 -42.43638216928449 20 -85.13978765381955 30 0.0 0 SPLINE 5 C0 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 11 73 7 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 1.0 40 1.0 40 1.0 40 1.0 10 -42.52537586353856 20 -82.11330052231172 30 0.0 10 -41.04365089783296 20 -84.25786816121155 30 0.0 10 -39.40538522218944 20 -86.09727161204418 30 0.0 10 -37.61077927285631 20 -87.6313104385613 30 0.0 10 -36.8629516303744 20 -88.27060185257797 30 0.0 10 -36.08786465812096 20 -88.85697809703812 30 0.0 10 -35.28561857422015 20 -89.39023873569349 30 0.0 0 SPLINE 5 C1 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -34.33605184780416 20 -76.28271027680704 30 0.0 10 -32.96767358052352 20 -80.63257773784771 30 0.0 10 -34.37724149683392 20 -83.09533792095554 30 0.0 10 -38.56435472423873 20 -83.67109104425475 30 0.0 10 -36.29812228260865 20 -86.39582140391686 30 0.0 10 -33.82042959900096 20 -87.90911507873281 30 0.0 10 -31.13147710966399 20 -88.21077163245442 30 0.0 10 -28.22585303589311 20 -88.18291099393795 30 0.0 10 -26.50480718969344 20 -87.44109643890563 30 0.0 10 -25.96864022543744 20 -85.98542818548161 30 0.0 10 -27.87649265507135 20 -84.01914858946245 30 0.0 10 -28.3363936268416 20 -81.11121949883587 30 0.0 10 -27.34834314074815 20 -77.26184134985029 30 0.0 10 -29.86882896337215 20 -77.7177335966541 30 0.0 10 -32.19809860509888 20 -77.39132316626498 30 0.0 10 -34.33605184780416 20 -76.28271027680704 30 0.0 0 SPLINE 5 C2 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -24.32185600924031 20 -75.92673549979075 30 0.0 10 -21.53428888572992 20 -73.43882056751876 30 0.0 10 -18.89354131411391 20 -71.56945189756226 30 0.0 10 -16.39951307626815 20 -70.31872970804547 30 0.0 10 -11.9712750421344 20 -67.5536114443469 30 0.0 10 -7.965556619459193 20 -64.26004301195266 30 0.0 10 -4.382458026366717 20 -60.4381246289869 30 0.0 10 -4.671186442071676 20 -67.10844253682819 30 0.0 10 -7.252705102309111 20 -73.69557940161665 30 0.0 10 -12.12671335270655 20 -80.19943500522818 30 0.0 10 -16.86131819239744 20 -85.52081696187587 30 0.0 10 -20.95613052745087 20 -87.0340104185677 30 0.0 10 -24.41084970349439 20 -84.73921581155203 30 0.0 10 -26.22269317018303 20 -82.14907839263682 30 0.0 10 -26.19302860543166 20 -79.21168517350725 30 0.0 10 -24.32185600924031 20 -75.92673549979075 30 0.0 0 SPLINE 5 C3 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 -5.094507798523512 20 -72.54417337314243 30 0.0 10 -7.284875120164473 20 -77.7533110307309 30 0.0 10 -10.13347508128832 20 -81.84792292953601 30 0.0 10 -13.63990680939839 20 -84.82820950580611 30 0.0 10 -15.24800682966976 20 -86.15920641277508 30 0.0 10 -17.53267940614527 20 -89.09669985002883 30 0.0 10 -20.49412497507326 20 -93.64079003569157 30 0.0 10 -22.68759905856318 20 -96.81098995724486 30 0.0 10 -22.95458014132543 20 -99.57039578786627 30 0.0 10 -21.29526865960831 20 -101.9192079638042 30 0.0 10 -18.94535408430464 20 -104.6204872824129 30 0.0 10 -16.1859482536832 20 -105.124784883186 30 0.0 10 -13.01695094961982 20 -103.432401420496 30 0.0 10 -10.4344301081408 20 -101.8713037004557 30 0.0 10 -8.416738614427512 20 -98.6668291804397 30 0.0 10 -6.963776250355837 20 -93.81877742419971 30 0.0 10 -5.403680711557115 20 -87.33676937165507 30 0.0 10 -4.780624633654392 20 -80.24523468796933 30 0.0 10 -5.094507798523512 20 -72.54417337314243 30 0.0 0 SPLINE 5 C4 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 29 73 25 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1111111111111111 40 0.1111111111111111 40 0.1111111111111111 40 0.2222222222222222 40 0.2222222222222222 40 0.2222222222222222 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.4444444444444444 40 0.4444444444444444 40 0.4444444444444444 40 0.5555555555555556 40 0.5555555555555556 40 0.5555555555555556 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 0.7777777777777778 40 0.7777777777777778 40 0.7777777777777778 40 1.0 40 1.0 40 1.0 40 1.0 10 -4.50863264468415 20 -94.0280328674458 30 0.0 10 -4.369429670225909 20 -93.40487657141892 30 0.0 10 -4.208579580949111 20 -92.7712975904794 30 0.0 10 -4.026282813102075 20 -92.12749636087557 30 0.0 10 -1.89474353034303 20 -100.1987634263495 30 0.0 10 -0.8561831096729522 20 -106.6079129026298 30 0.0 10 -0.9108019873401489 20 -111.3547443534682 30 0.0 10 -1.007612695278711 20 -113.6704845484333 30 0.0 10 -1.541173988306557 20 -115.4564717390887 30 0.0 10 -2.511084993927037 20 -116.7126057073101 30 0.0 10 -3.702979144561914 20 -118.2560650374983 30 0.0 10 -4.978655646994559 20 -118.692414750091 30 0.0 10 -6.851331515048313 20 -118.517033032811 30 0.0 10 -9.411102842343034 20 -118.2771108435719 30 0.0 10 -10.78389070708672 20 -116.7205229391188 30 0.0 10 -10.96949467303103 20 -113.8471691013274 30 0.0 10 -11.15479798460287 20 -111.751708343266 30 0.0 10 -10.32408995344063 20 -108.6064627346285 30 0.0 10 -8.477069925171838 20 -104.4116327116634 30 0.0 10 -7.693364194240639 20 -103.0334330682151 30 0.0 10 -6.972695663406069 20 -101.5596253343181 30 0.0 10 -6.315064332668152 20 -99.99061038246916 30 0.0 10 -5.363994334389751 20 -97.72107074274182 30 0.0 10 -5.027562091584638 20 -96.3495857136122 30 0.0 10 -4.50863264468415 20 -94.0280328674458 30 0.0 0 SPLINE 5 C5 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -4.649238672880639 20 -126.8434554423962 30 0.0 10 -3.330067504562554 20 -125.1223093780724 30 0.0 10 -2.291707520140796 20 -123.6239482037562 30 0.0 10 -1.533958283367034 20 -122.3481714831994 30 0.0 10 -1.989149003301755 20 -125.0229932170298 30 0.0 10 -1.870490744296311 20 -128.464984691305 30 0.0 10 -1.17788328822655 20 -132.6739454697767 30 0.0 10 -1.001499389704951 20 -135.4456781296698 30 0.0 10 -2.040059810375031 20 -136.9293072397344 30 0.0 10 -4.29326389586431 20 -137.1247325818465 30 0.0 10 -7.967861636314874 20 -136.9825230636635 30 0.0 10 -7.016691419912318 20 -131.9768281981198 30 0.0 10 -6.116732664955517 20 -129.7288354550868 30 0.0 10 -5.704836174657913 20 -128.6998959743361 30 0.0 10 -5.215571292508793 20 -127.7381026367725 30 0.0 10 -4.649238672880639 20 -126.8434554423962 30 0.0 0 SPLINE 5 C6 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 1.670616454773131 20 -112.3784724917626 30 0.0 10 1.709801741319685 20 -114.7591540311834 30 0.0 10 1.561478917562884 20 -116.984397260032 30 0.0 10 1.225447547254406 20 -119.0546030508052 30 0.0 10 0.7199473289913649 20 -121.6312110229588 30 0.0 10 0.5869578782310498 20 -123.7109375355271 30 0.0 10 0.8261785406009665 20 -125.2934819341376 30 0.0 10 1.142466940449924 20 -127.3854350578535 30 0.0 10 2.633612409826565 20 -129.3923029941574 30 0.0 10 4.778079830602248 20 -127.8938416017172 30 0.0 10 5.279170451402246 20 -127.5437796940263 30 0.0 10 5.697280465397773 20 -126.9710331144519 30 0.0 10 6.032309654464644 20 -126.1759025173665 30 0.0 10 6.947501564293768 20 -123.1888011086535 30 0.0 10 5.493637237104645 20 -118.5896911728269 30 0.0 10 1.670616454773131 20 -112.3784724917626 30 0.0 0 SPLINE 5 C7 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -1.62295197762111 20 -91.54893713009986 30 0.0 10 -3.243278609039996 20 -84.57936790351683 30 0.0 10 -3.628917950807674 20 -77.75481430259332 30 0.0 10 -2.780170657296632 20 -71.07547676357761 30 0.0 10 -0.5707618920652706 20 -79.23964603014788 30 0.0 10 1.921662855793925 20 -84.46181204387716 30 0.0 10 4.697103586280971 20 -86.7421752410138 30 0.0 10 8.826390956045449 20 -90.72133586078661 30 0.0 10 11.14072809727233 20 -94.4599729825754 30 0.0 10 11.64031544620993 20 -97.95808660638019 30 0.0 10 11.51915173410049 20 -101.4189190879975 30 0.0 10 10.09495197166273 20 -103.0508710218189 30 0.0 10 7.367615940772492 20 -102.8538421897204 30 0.0 10 2.800375368428811 20 -102.2613526396865 30 0.0 10 -0.1965474164518355 20 -98.4930509531463 30 0.0 10 -1.62295197762111 20 -91.54893713009986 30 0.0 0 SPLINE 5 C8 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 -1.801039584253436 20 -61.46185276728131 30 0.0 10 -1.308667940255356 20 -67.45088786708291 30 0.0 10 -0.5075242557203103 20 -71.99076889153089 30 0.0 10 0.6023914693516847 20 -75.08119518625281 30 0.0 10 2.204578620297611 20 -79.44880125526979 30 0.0 10 4.311364026389128 20 -83.0391155533018 30 0.0 10 6.922547251377932 20 -85.85193764410052 30 0.0 10 11.20637096859713 20 -89.27538876540609 30 0.0 10 14.49993940099137 20 -90.0468678851898 30 0.0 10 16.80315233043649 20 -88.16637500345156 30 0.0 10 19.14975970764289 20 -86.33949881813892 30 0.0 10 19.74315122079425 20 -83.6394221170202 30 0.0 10 18.58352730613889 20 -80.06604468197123 30 0.0 10 18.08734737342273 20 -77.13386280529798 30 0.0 10 14.97176632953665 20 -73.92938828528195 30 0.0 10 9.236884392604809 20 -70.45242068567488 30 0.0 10 4.793413203598726 20 -67.76396928695874 30 0.0 10 1.11410521131265 20 -64.76714672020228 30 0.0 10 -1.801039584253436 20 -61.46185276728131 30 0.0 0 SPLINE 5 C9 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 26 73 22 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.125 40 0.125 40 0.125 40 0.25 40 0.25 40 0.25 40 0.375 40 0.375 40 0.375 40 0.5 40 0.5 40 0.5 40 0.625 40 0.625 40 0.625 40 0.75 40 0.75 40 0.75 40 1.0 40 1.0 40 1.0 40 1.0 10 32.29176320124032 20 -83.8200151767565 30 0.0 10 31.36374337151874 20 -84.73821363031044 30 0.0 10 30.54415955213825 20 -85.488947598393 30 0.0 10 29.83311196122306 20 -86.07201664475588 30 0.0 10 28.28444128857857 20 -87.34178027786308 30 0.0 10 26.49434515483264 20 -88.19513760508546 30 0.0 10 24.43085397837825 20 -87.9506053821351 30 0.0 10 23.19295970875394 20 -87.80378583024067 30 0.0 10 22.23808142175745 20 -87.14876017073092 30 0.0 10 21.56651977176129 20 -85.98572883985413 30 0.0 10 21.43132552226945 20 -85.598586226224 30 0.0 10 21.35766520101185 20 -85.19440653148676 30 0.0 10 21.34573924423681 20 -84.77359062813893 30 0.0 10 21.29883716212993 20 -83.11297631080772 30 0.0 10 21.79211076924545 20 -82.71410817665092 30 0.0 10 21.34654098923009 20 -80.63879126154563 30 0.0 10 21.11363406868226 20 -79.5540302856378 30 0.0 10 20.72699254567297 20 -78.48780966269958 30 0.0 10 20.18681685645058 20 -77.44022961085508 30 0.0 10 23.08522522528192 20 -78.20379149883011 30 0.0 10 25.72597279689793 20 -77.74379030893573 30 0.0 10 28.10905957129857 20 -76.06052669554434 30 0.0 0 SPLINE 5 CA 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 11 73 7 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 1.0 40 1.0 40 1.0 40 1.0 10 28.10905957129857 20 -76.06052669554434 30 0.0 10 27.31242570235074 20 -78.54423246660163 30 0.0 10 27.53501015611009 20 -80.5619239603149 30 0.0 10 28.7767127144525 20 -82.11360117668417 30 0.0 10 29.88963498324929 20 -83.29767831363458 30 0.0 10 31.06128507280385 20 -83.86651638636675 30 0.0 10 32.29176320124032 20 -83.8200151767565 30 0.0 0 SPLINE 5 CB 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 32 73 28 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1 40 0.1 40 0.1 40 0.2 40 0.2 40 0.2 40 0.3 40 0.3 40 0.3 40 0.4 40 0.4 40 0.4 40 0.5 40 0.5 40 0.5 40 0.6 40 0.6 40 0.6 40 0.7 40 0.7 40 0.7 40 0.8 40 0.8 40 0.8 40 1.0 40 1.0 40 1.0 40 1.0 10 33.2273996083981 20 -85.8967351456 30 0.0 10 34.65179980708417 20 -84.4935811892359 30 0.0 10 35.73485707488129 20 -83.24736881530629 30 0.0 10 36.47647119366529 20 -82.15809802381123 30 0.0 10 36.59382661705666 20 -85.59457750125763 30 0.0 10 35.64425989064065 20 -89.2887177759194 30 0.0 10 33.6279714506656 20 -93.2405188477965 30 0.0 10 32.87613508321729 20 -94.46438258003846 30 0.0 10 32.14784997494656 20 -95.41465083332355 30 0.0 10 31.44321634397761 20 -96.09112317140355 30 0.0 10 30.31927008152322 20 -97.17037215048262 30 0.0 10 29.29644390634625 20 -97.58978500009218 30 0.0 10 27.76581249605056 20 -97.13439384390914 30 0.0 10 27.61368138357568 20 -97.089195469913 30 0.0 10 27.47067012039938 20 -97.03457659224581 30 0.0 10 27.33677870652162 20 -96.97103830152838 30 0.0 10 25.76044783160896 20 -96.22200804155652 30 0.0 10 25.79762875567233 20 -94.62843964928837 30 0.0 10 26.21513746092289 20 -93.18950782259908 30 0.0 10 26.35744719723009 20 -92.69924075920835 30 0.0 10 26.54976577749313 20 -92.24956203610242 30 0.0 10 26.79209320171201 20 -91.84107296202626 30 0.0 10 27.46666139543297 20 -90.70369747093444 30 0.0 10 29.84383530050818 20 -88.84204559653827 30 0.0 10 30.93731525321793 20 -87.87303655403522 30 0.0 10 31.7105982992365 20 -87.1879454572775 30 0.0 10 32.47405996908736 20 -86.52901129092547 30 0.0 10 33.2273996083981 20 -85.8967351456 30 0.0 0 SPLINE 5 CC 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 26 73 22 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.125 40 0.125 40 0.125 40 0.25 40 0.25 40 0.25 40 0.375 40 0.375 40 0.375 40 0.5 40 0.5 40 0.5 40 0.625 40 0.625 40 0.625 40 0.75 40 0.75 40 0.75 40 1.0 40 1.0 40 1.0 40 1.0 10 33.47634142881154 20 -69.3848972271226 30 0.0 10 33.73209808166785 20 -68.75452522615618 30 0.0 10 33.94586334050113 20 -68.14600077625667 30 0.0 10 34.11763720531138 20 -67.55972474992065 30 0.0 10 35.45955788781377 20 -71.30557757664899 30 0.0 10 35.96395570671105 20 -74.77713339755138 30 0.0 10 35.63083066200322 20 -77.97439221262786 30 0.0 10 35.53091319221568 20 -80.33042009350528 30 0.0 10 34.37379473066433 20 -81.487738991305 30 0.0 10 32.1592748411008 20 -81.44604825165445 30 0.0 10 30.67073504295233 20 -81.19430032376451 30 0.0 10 29.87019266716225 20 -80.48054684349698 30 0.0 10 29.75754749560642 20 -79.30468759272772 30 0.0 10 29.66464529451009 20 -78.33577876834886 30 0.0 10 30.31075154096961 20 -76.2167667511098 30 0.0 10 30.63706175323457 20 -75.21809314385538 30 0.0 10 30.81534979611522 20 -74.67280633030083 30 0.0 10 31.01388190007617 20 -74.1579858264909 30 0.0 10 31.23225719262081 20 -73.67383206867396 30 0.0 10 31.9167469806336 20 -72.15662988701573 30 0.0 10 32.81009133939586 20 -71.02757250022916 30 0.0 10 33.47634142881154 20 -69.3848972271226 30 0.0 0 SPLINE 5 CD 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 29 73 25 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1111111111111111 40 0.1111111111111111 40 0.1111111111111111 40 0.2222222222222222 40 0.2222222222222222 40 0.2222222222222222 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.4444444444444444 40 0.4444444444444444 40 0.4444444444444444 40 0.5555555555555556 40 0.5555555555555556 40 0.5555555555555556 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 0.7777777777777778 40 0.7777777777777778 40 0.7777777777777778 40 1.0 40 1.0 40 1.0 40 1.0 10 36.83254618880578 20 -60.79450027849987 30 0.0 10 34.76454519676416 20 -58.55532673039298 30 0.0 10 32.8951765268077 20 -55.75142405264452 30 0.0 10 31.22454039706049 20 -52.38249159088195 30 0.0 10 33.6608429953901 20 -54.81699026297666 30 0.0 10 36.3313553498816 20 -56.18186089591171 30 0.0 10 39.2359772424109 20 -56.47720370781124 30 0.0 10 41.52205287262466 20 -56.27726855011203 30 0.0 10 43.4210861073325 20 -55.17937899993923 30 0.0 10 44.93287651028609 20 -53.18363527541699 30 0.0 10 46.0062126200397 20 -55.62364594434052 30 0.0 10 47.91997791899904 20 -56.48401854025413 30 0.0 10 50.6743728434125 20 -55.76515393565445 30 0.0 10 50.53547052332672 20 -56.15871050923073 30 0.0 10 50.41440702934145 20 -56.52831495113283 30 0.0 10 50.3112825795808 20 -56.87366660698818 30 0.0 10 49.63901940271552 20 -59.12626938373252 30 0.0 10 49.93837093958146 20 -59.43093248117891 30 0.0 10 48.25390470870016 20 -61.5007373994554 30 0.0 10 47.08155309227649 20 -62.94157337050372 30 0.0 10 45.73712695667008 20 -63.92270880603012 30 0.0 10 44.22082673812929 20 -64.44414370603461 30 0.0 10 41.64542138346562 20 -64.79089841562818 30 0.0 10 39.18256098223362 20 -63.57435060644995 30 0.0 10 36.83254618880578 20 -60.79450027849987 30 0.0 0 SPLINE 5 CE 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 53.52307302266048 20 -54.65223166685763 30 0.0 10 54.5001997332205 20 -52.57180362742019 30 0.0 10 55.83540580140416 20 -50.12377550856385 30 0.0 10 57.52879144533568 20 -47.30844796466116 30 0.0 10 57.46565402711489 20 -51.25994838216579 30 0.0 10 57.67320576225026 20 -55.78499712423812 30 0.0 10 58.1518475232384 20 -60.88339375462978 30 0.0 10 57.86542412438912 20 -63.07606609312643 30 0.0 10 56.94562218084864 20 -64.45586922656132 30 0.0 10 55.39244169261696 20 -65.02250250056196 30 0.0 10 53.54351751998914 20 -65.36905677390723 30 0.0 10 52.41596340506497 20 -64.44915461224262 30 0.0 10 52.0097793478445 20 -62.26309666994052 30 0.0 10 51.96407988322754 20 -59.22779034350658 30 0.0 10 52.46857792024898 20 -56.69096896664452 30 0.0 10 53.52307302266048 20 -54.65223166685763 30 0.0 0 SPLINE 5 CF 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 62.60253441718402 20 -36.00354290504067 30 0.0 10 63.54618827427458 20 -33.07326517272643 30 0.0 10 64.02082131029634 20 -30.10610717072133 30 0.0 10 64.02683439774593 20 -27.10206889902533 30 0.0 10 67.07116035535424 20 -33.77298811561154 30 0.0 10 68.46579577116482 20 -39.88529150812995 30 0.0 10 68.21054020892929 20 -45.43917951282884 30 0.0 10 67.99016055390145 20 -49.18964237326848 30 0.0 10 66.71418339709633 20 -51.17746886598211 30 0.0 10 64.3829093928864 20 -51.40316008159046 30 0.0 10 61.22132823001088 20 -50.74422591523843 30 0.0 10 59.82679303232449 20 -48.72653442152512 30 0.0 10 60.19910336357889 20 -45.35018581857473 30 0.0 10 60.3970341587949 20 -42.80534699177989 30 0.0 10 61.19817784332993 20 -39.68986616601796 30 0.0 10 62.60253441718402 20 -36.00354290504067 30 0.0 0 SPLINE 5 D0 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 64.73898438802689 20 -23.0963504763501 30 0.0 10 63.54478522053633 20 -20.84515075334403 30 0.0 10 62.15014980472577 20 -18.17473861697667 30 0.0 10 60.55517835871937 20 -15.08501384912387 30 0.0 10 64.31275670597442 20 -17.36938577122691 30 0.0 10 68.64478534091458 20 -20.09922725522116 30 0.0 10 73.55136448166401 20 -23.27443808298244 30 0.0 10 78.29589091564674 20 -27.01127127853636 30 0.0 10 79.80928480858688 20 -30.5124916641901 30 0.0 10 78.09124550611203 20 -33.77819945806788 30 0.0 10 76.00630765108737 20 -36.80548833456901 30 0.0 10 73.60287659748225 20 -36.74615920506628 30 0.0 10 70.88095234529663 20 -33.6002120695597 30 0.0 10 68.28911121827073 20 -30.16613782709315 30 0.0 10 66.24185537793024 20 -26.66481722331523 30 0.0 10 64.73898438802689 20 -23.0963504763501 30 0.0 0 SPLINE 5 D1 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 65.09505938316738 20 -15.35209515001027 30 0.0 10 62.75095745906498 20 -14.11851025972484 30 0.0 10 60.1695390169517 20 -12.3382355021466 30 0.0 10 57.35070383870338 20 -10.0111706591514 30 0.0 10 61.90792259863106 20 -11.76358477821315 30 0.0 10 66.89277209434944 20 -12.29774737998595 30 0.0 10 72.30525232585858 20 -11.61345802822147 30 0.0 10 77.28609309661056 20 -10.66800024489602 30 0.0 10 80.52033239950208 20 -11.67679588269059 30 0.0 10 82.00787001640897 20 -14.63994515972931 30 0.0 10 82.7857630961389 20 -17.4209981051693 30 0.0 10 81.21314029182017 20 -19.20137308087171 30 0.0 10 77.29010182157698 20 -19.98086965058819 30 0.0 10 73.29620913755265 20 -19.6904375267725 30 0.0 10 69.23126180349888 20 -18.14757950532931 30 0.0 10 65.09505938316738 20 -15.35209515001027 30 0.0 0 SPLINE 5 D2 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 26 73 22 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.125 40 0.125 40 0.125 40 0.25 40 0.25 40 0.25 40 0.375 40 0.375 40 0.375 40 0.5 40 0.5 40 0.5 40 0.625 40 0.625 40 0.625 40 0.75 40 0.75 40 0.75 40 1.0 40 1.0 40 1.0 40 1.0 10 68.21033977268097 20 21.23353325583932 30 0.0 10 66.7109764171232 20 24.36605116270845 30 0.0 10 64.36697471114496 20 27.12545699332989 30 0.0 10 61.17813421849795 20 29.51195118395196 30 0.0 10 71.54239196475265 20 26.77870228373629 30 0.0 10 77.77355405252482 20 21.94217561177468 30 0.0 10 79.87131982744192 20 15.00257160431549 30 0.0 10 82.04014025238848 20 8.010653736044768 30 0.0 10 80.76426331370753 20 2.106002296785888 30 0.0 10 76.04368901139905 20 -2.711583149709472 30 0.0 10 72.26115635122818 20 -6.691645732599696 30 0.0 10 67.15764859650433 20 -8.323597666421145 30 0.0 10 60.73316574722753 20 -7.607338733049634 30 0.0 10 56.65148198643907 20 -7.615356182982428 30 0.0 10 51.54797423171522 20 -4.677762527604507 30 0.0 10 45.42254226493185 20 1.205141578711655 30 0.0 10 53.71749618353088 20 -1.788574226195864 30 0.0 10 60.54194956633025 20 -1.046659453039382 30 0.0 10 65.89590241332992 20 3.43058524380861 30 0.0 10 70.75858601569729 20 8.333857186460774 30 0.0 10 71.53006513548097 20 14.268173190471 30 0.0 10 68.21033977268097 20 21.23353325583932 30 0.0 0 SPLINE 5 D3 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 17 73 13 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.2 40 0.2 40 0.2 40 0.4 40 0.4 40 0.4 40 0.6 40 0.6 40 0.6 40 1.0 40 1.0 40 1.0 40 1.0 10 83.1204916308333 20 20.92225576219837 30 0.0 10 80.0885927206208 20 22.34856010524349 30 0.0 10 75.65253767280257 20 24.87074963597821 30 0.0 10 69.81272735987523 20 28.48852370003005 30 0.0 10 79.29145776105219 20 29.05836395400381 30 0.0 10 85.25533811168962 20 28.86554428311996 30 0.0 10 87.70476928428418 20 27.90996446925437 30 0.0 10 91.84387803021635 20 26.55301106812797 30 0.0 10 93.22368116365121 20 24.50575522778749 30 0.0 10 91.84397824834048 20 21.76789629386045 30 0.0 10 90.75250265811395 20 19.57291893850813 30 0.0 10 87.84457356748737 20 19.29100535524605 30 0.0 10 83.1204916308333 20 20.92225576219837 30 0.0 0 SPLINE 5 D4 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 29 73 25 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1111111111111111 40 0.1111111111111111 40 0.1111111111111111 40 0.2222222222222222 40 0.2222222222222222 40 0.2222222222222222 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.4444444444444444 40 0.4444444444444444 40 0.4444444444444444 40 0.5555555555555556 40 0.5555555555555556 40 0.5555555555555556 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 0.7777777777777778 40 0.7777777777777778 40 0.7777777777777778 40 1.0 40 1.0 40 1.0 40 1.0 10 73.64025795779393 20 59.5550397902643 30 0.0 10 70.04783907915457 20 61.86947714961534 30 0.0 10 66.23504054548737 20 63.44209995393406 30 0.0 10 62.20196257491649 20 64.27280798509632 30 0.0 10 71.88012704317185 20 66.7301563894995 30 0.0 10 79.06065542111168 20 66.06250324634557 30 0.0 10 83.74364792686018 20 62.2699487737587 30 0.0 10 90.23036623124032 20 57.69278660712317 30 0.0 10 92.52987109009153 20 51.35779854272126 30 0.0 10 90.64216250341377 20 43.26518501680127 30 0.0 10 88.18561584400388 20 36.09758477687807 30 0.0 10 83.46784764917187 20 31.91397918381885 30 0.0 10 76.48875770079361 20 30.71406758325118 30 0.0 10 71.29184665435265 20 30.21939092239741 30 0.0 10 66.42575563576385 20 30.57546591753788 30 0.0 10 61.89028420877889 20 31.78219235054844 30 0.0 10 68.98231998308546 20 32.79349344144701 30 0.0 10 74.08733100967169 20 35.83451220095806 30 0.0 10 77.20521707041345 20 40.90484775658493 30 0.0 10 79.40730991258113 20 44.48604220531837 30 0.0 10 79.92513696011585 20 47.30658109167742 30 0.0 10 78.92415833600579 20 51.45992081124029 30 0.0 10 78.15568575994689 20 54.64775912264574 30 0.0 10 76.39445244595905 20 57.34623233377789 30 0.0 10 73.64025795779393 20 59.5550397902643 30 0.0 0 SPLINE 5 D5 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 17 73 13 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.2 40 0.2 40 0.2 40 0.4 40 0.4 40 0.4 40 0.6 40 0.6 40 0.6 40 1.0 40 1.0 40 1.0 40 1.0 10 81.36226486057028 20 67.6683984678854 30 0.0 10 78.20679700326849 20 67.62540489262078 30 0.0 10 74.46064352216769 20 67.6358275775334 30 0.0 10 70.12410507164034 20 67.69986695887165 30 0.0 10 77.90544110391936 20 72.76899989700863 30 0.0 10 82.99411657626753 20 75.55827072862975 30 0.0 10 85.39023170680898 20 76.06737879936254 30 0.0 10 89.74841727215491 20 76.97886263859773 30 0.0 10 92.07768691388162 20 75.89590558892479 30 0.0 10 92.37794041386498 20 72.81830721409533 30 0.0 10 92.1170726366765 20 67.94299612808382 30 0.0 10 84.98865768329985 20 67.71770578497213 30 0.0 10 81.36226486057028 20 67.6683984678854 30 0.0 0 SPLINE 5 D6 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 23 73 19 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1428571428571428 40 0.1428571428571428 40 0.1428571428571428 40 0.2857142857142857 40 0.2857142857142857 40 0.2857142857142857 40 0.4285714285714285 40 0.4285714285714285 40 0.4285714285714285 40 0.5714285714285714 40 0.5714285714285714 40 0.5714285714285714 40 0.7142857142857143 40 0.7142857142857143 40 0.7142857142857143 40 1.0 40 1.0 40 1.0 40 1.0 10 60.99994639374145 20 95.47261418046337 30 0.0 10 56.54384772109121 20 97.29688469454783 30 0.0 10 51.32158148923777 20 97.1187970879155 30 0.0 10 45.3332479163053 20 94.93855179681469 30 0.0 10 53.96864280267586 20 102.9966902880237 30 0.0 10 61.86132117089664 20 105.7265317720179 30 0.0 10 69.01138323909184 20 103.1279760306733 30 0.0 10 77.70480441935106 20 99.7663594919744 30 0.0 10 81.62142892964802 20 93.14955806243452 30 0.0 10 80.76135698810688 20 83.27747152392958 30 0.0 10 78.3102221074016 20 74.75772835283966 30 0.0 10 71.96050197874817 20 69.32791038585086 30 0.0 10 61.7120963840224 20 66.9877169685907 30 0.0 10 67.63558883062336 20 71.67792517927869 30 0.0 10 70.45432379074754 20 77.70123487754303 30 0.0 10 70.16850170064322 20 85.05784649963198 30 0.0 10 69.41165442698689 20 89.95089619361984 30 0.0 10 66.3555027307277 20 93.4225522326464 30 0.0 10 60.99994639374145 20 95.47261418046337 30 0.0 0 SPLINE 5 D7 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 61.44501508313601 20 107.9347379197594 30 0.0 10 58.05934619463872 20 106.0411164637561 30 0.0 10 54.32070907284994 20 103.9344312757888 30 0.0 10 50.22910371776962 20 101.6146823558573 30 0.0 10 54.36169828563138 20 109.6473654435296 30 0.0 10 57.59323169917057 20 114.7525769063642 30 0.0 10 59.92360374026305 20 116.9298156537402 30 0.0 10 60.88529685970242 20 117.8284715730829 30 0.0 10 62.63720988814336 20 118.6917504945971 30 0.0 10 63.93363154227713 20 118.8740472624442 30 0.0 10 66.5469193478733 20 119.2414469056147 30 0.0 10 68.27478002651586 20 116.4092827168531 30 0.0 10 67.36349662352899 20 114.0520522184858 30 0.0 10 66.63942067647297 20 112.1800778773011 30 0.0 10 64.66662690238338 20 110.1408394868934 30 0.0 10 61.44501508313601 20 107.9347379197594 30 0.0 0 SPLINE 5 D8 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 29 73 25 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1111111111111111 40 0.1111111111111111 40 0.1111111111111111 40 0.2222222222222222 40 0.2222222222222222 40 0.2222222222222222 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.4444444444444444 40 0.4444444444444444 40 0.4444444444444444 40 0.5555555555555556 40 0.5555555555555556 40 0.5555555555555556 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 0.7777777777777778 40 0.7777777777777778 40 0.7777777777777778 40 1.0 40 1.0 40 1.0 40 1.0 10 28.96903129471553 20 121.2549292381133 30 0.0 10 23.44811505286529 20 120.4919686588832 30 0.0 10 18.96265246983617 20 117.6541922551686 30 0.0 10 15.51284398187649 20 112.7414998088454 30 0.0 10 17.51079250513025 20 121.3609600134746 30 0.0 10 21.39785266880001 20 127.2360471061062 30 0.0 10 27.17392425476161 20 130.3665606504922 30 0.0 10 32.24796788098241 20 132.9234256521862 30 0.0 10 37.67788606609538 20 132.9827547816889 30 0.0 10 43.4636788101005 20 130.5446482571245 30 0.0 10 49.65024383262144 20 126.47679459747 30 0.0 10 52.70649574700482 20 121.2546285837408 30 0.0 10 52.63223411700226 20 114.8779497796883 30 0.0 10 52.6140946365293 20 108.9184790265139 30 0.0 10 49.5579429402701 20 102.8655047634982 30 0.0 10 43.4636788101005 20 96.71882655439295 30 0.0 10 45.96191620916096 20 103.7927228482265 30 0.0 10 45.87282229678272 20 109.6974745056096 30 0.0 10 43.19669772733825 20 114.4327808721696 30 0.0 10 41.53357795690306 20 117.3115464886656 30 0.0 10 39.29440440879617 20 119.3185146430937 30 0.0 10 36.47937751926594 20 120.4536853354541 30 0.0 10 33.88483050288769 20 121.4997621154362 30 0.0 10 31.69917343308225 20 121.6319498212032 30 0.0 10 28.96903129471553 20 121.2549292381133 30 0.0 0 SPLINE 5 D9 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 21.83299976390272 20 130.3665606504922 30 0.0 10 19.88105135963841 20 127.1580774055097 30 0.0 10 18.04134725443328 20 124.1612548387533 30 0.0 10 16.31408788453568 20 121.3760929502227 30 0.0 10 15.88956391059393 20 129.9452436565235 30 0.0 10 16.06755129910209 20 135.731236836777 30 0.0 10 16.84815026818433 20 138.7339722728589 30 0.0 10 17.92529466665601 20 142.165240407849 30 0.0 10 19.52758203572609 20 143.8268569064217 30 0.0 10 21.65501237539457 20 143.7188217685773 30 0.0 10 23.93928407937346 20 143.2383760813542 30 0.0 10 25.12606710567616 20 141.7844115360409 30 0.0 10 25.21556189055104 20 139.3571285688857 30 0.0 10 25.10251584649857 20 136.5388946993824 30 0.0 10 23.9749617315744 20 133.5420721326259 30 0.0 10 21.83299976390272 20 130.3665606504922 30 0.0 0 SPLINE 5 DA 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 11 71 3 72 29 73 25 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1111111111111111 40 0.1111111111111111 40 0.1111111111111111 40 0.2222222222222222 40 0.2222222222222222 40 0.2222222222222222 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.4444444444444444 40 0.4444444444444444 40 0.4444444444444444 40 0.5555555555555556 40 0.5555555555555556 40 0.5555555555555556 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 0.7777777777777778 40 0.7777777777777778 40 0.7777777777777778 40 1.0 40 1.0 40 1.0 40 1.0 10 -8.521666990423032 20 129.6990077254624 30 0.0 10 -14.57935150527424 20 127.1566743517715 30 0.0 10 -18.22899493280894 20 121.6377624724045 30 0.0 10 -19.4705972730272 20 113.1421718692371 30 0.0 10 -21.86631153107199 20 121.9811097657767 30 0.0 10 -21.50873326406911 20 128.848757160089 30 0.0 10 -18.39786247201854 20 133.7448133978016 30 0.0 10 -18.17076820267199 20 134.1022914466803 30 0.0 10 -17.9287414328256 20 134.4493468106464 30 0.0 10 -17.67238347122433 20 134.7857790534515 30 0.0 10 -13.19844597247359 20 140.6551537130061 30 0.0 10 -10.02564037969216 20 142.4808272808288 30 0.0 10 -2.290605120775033 20 142.6062001541529 30 0.0 10 3.828212449813766 20 142.0454797494778 30 0.0 10 8.456986950391691 20 139.196980006478 30 0.0 10 11.59581859908289 20 134.060700925154 30 0.0 10 14.93508649609409 20 128.442873757241 30 0.0 10 15.35039040261313 20 121.5590912449389 30 0.0 10 12.84193075488833 20 113.4092531701235 30 0.0 10 11.45912107772865 20 120.2692839869997 30 0.0 10 8.907367200366727 20 125.0761460942099 30 0.0 10 5.186568904678404 20 127.8297392736301 30 0.0 10 0.9694904581497708 20 131.0050503195155 30 0.0 10 -3.599854694801271 20 131.6281063974182 30 0.0 10 -8.521666990423032 20 129.6990077254624 30 0.0 0 SPLINE 5 DB 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 113 73 109 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.027027027027027 40 0.027027027027027 40 0.027027027027027 40 0.0540540540540541 40 0.0540540540540541 40 0.0540540540540541 40 0.0810810810810811 40 0.0810810810810811 40 0.0810810810810811 40 0.1081081081081081 40 0.1081081081081081 40 0.1081081081081081 40 0.1351351351351351 40 0.1351351351351351 40 0.1351351351351351 40 0.1621621621621622 40 0.1621621621621622 40 0.1621621621621622 40 0.1891891891891892 40 0.1891891891891892 40 0.1891891891891892 40 0.2162162162162162 40 0.2162162162162162 40 0.2162162162162162 40 0.2432432432432433 40 0.2432432432432433 40 0.2432432432432433 40 0.2702702702702703 40 0.2702702702702703 40 0.2702702702702703 40 0.2972972972972973 40 0.2972972972972973 40 0.2972972972972973 40 0.3243243243243243 40 0.3243243243243243 40 0.3243243243243243 40 0.3513513513513514 40 0.3513513513513514 40 0.3513513513513514 40 0.3783783783783784 40 0.3783783783783784 40 0.3783783783783784 40 0.4054054054054054 40 0.4054054054054054 40 0.4054054054054054 40 0.4324324324324325 40 0.4324324324324325 40 0.4324324324324325 40 0.4594594594594595 40 0.4594594594594595 40 0.4594594594594595 40 0.4864864864864865 40 0.4864864864864865 40 0.4864864864864865 40 0.5135135135135135 40 0.5135135135135135 40 0.5135135135135135 40 0.5405405405405406 40 0.5405405405405406 40 0.5405405405405406 40 0.5675675675675675 40 0.5675675675675675 40 0.5675675675675675 40 0.5945945945945946 40 0.5945945945945946 40 0.5945945945945946 40 0.6216216216216216 40 0.6216216216216216 40 0.6216216216216216 40 0.6486486486486487 40 0.6486486486486487 40 0.6486486486486487 40 0.6756756756756757 40 0.6756756756756757 40 0.6756756756756757 40 0.7027027027027027 40 0.7027027027027027 40 0.7027027027027027 40 0.7297297297297297 40 0.7297297297297297 40 0.7297297297297297 40 0.7567567567567568 40 0.7567567567567568 40 0.7567567567567568 40 0.7837837837837838 40 0.7837837837837838 40 0.7837837837837838 40 0.8108108108108109 40 0.8108108108108109 40 0.8108108108108109 40 0.8378378378378378 40 0.8378378378378378 40 0.8378378378378378 40 0.8648648648648649 40 0.8648648648648649 40 0.8648648648648649 40 0.8918918918918919 40 0.8918918918918919 40 0.8918918918918919 40 0.918918918918919 40 0.918918918918919 40 0.918918918918919 40 0.9459459459459459 40 0.9459459459459459 40 0.9459459459459459 40 1.0 40 1.0 40 1.0 40 1.0 10 -81.80476722868481 20 -22.05067456886468 30 0.0 10 -84.0444418674125 20 -22.4357126018874 30 0.0 10 -86.06203314300161 20 -22.06470510624707 30 0.0 10 -87.85784170982465 20 -20.93805295444036 30 0.0 10 -89.79936742917633 20 -19.30048880566595 30 0.0 10 -90.76376643796803 20 -17.59437545996611 30 0.0 10 -90.75083829995138 20 -15.81961269921668 30 0.0 10 -90.55771797469505 20 -13.28249066798211 30 0.0 10 -89.45982842452226 20 -11.48738362802819 30 0.0 10 -87.45726986755713 20 -10.43419136123076 30 0.0 10 -85.64282072964033 20 -9.24309895558915 30 0.0 10 -83.40254478216768 20 -8.842426895197466 30 0.0 10 -80.73664246138753 20 -9.232475834428197 30 0.0 10 -79.00306934966787 20 -9.408659296701469 30 0.0 10 -77.11516032674176 20 -9.523609485112985 30 0.0 10 -75.07301561073346 20 -9.577426617786909 30 0.0 10 -79.16822881828354 20 -8.334822096327063 30 0.0 10 -82.33933070295427 20 -6.350503237959066 30 0.0 10 -84.58632126474562 20 -3.624369824558752 30 0.0 10 -87.06261089461505 20 -1.295501055328668 30 0.0 10 -88.7391598936877 20 2.190987266073575 30 0.0 10 -89.61576782571521 20 6.834894703399653 30 0.0 10 -89.80598182537089 20 9.909987625125098 30 0.0 10 -89.56856508923588 20 12.80298421525181 30 0.0 10 -88.90361783543429 20 15.51388447377982 30 0.0 10 -88.19828267759618 20 17.40269545982333 30 0.0 10 -87.42680355781249 20 18.94555348126653 30 0.0 10 -86.58918047608321 20 20.1427591924819 30 0.0 10 -87.88800736519683 20 19.43882708838205 30 0.0 10 -89.09603663382144 20 18.91137910092797 30 0.0 10 -90.2130678457088 20 18.56051544824381 30 0.0 10 -91.75642695777285 20 18.07586059980605 30 0.0 10 -94.1101498217946 20 17.85508007228157 30 0.0 10 -95.74781418869311 20 18.01492798031677 30 0.0 10 -97.32655029858563 20 18.16896323715068 30 0.0 10 -98.55392166517315 20 18.78931342570109 30 0.0 10 -99.42982807033152 20 19.87567789159548 30 0.0 10 -100.8604417927155 20 21.86059805870845 30 0.0 10 -101.1275230936019 20 23.78187971697981 30 0.0 10 -100.2310719729907 20 25.63952286640957 30 0.0 10 -99.42471694599938 20 27.26315669592573 30 0.0 10 -97.91142327118338 20 28.48712064629181 30 0.0 10 -95.69119094854274 20 29.31131449938365 30 0.0 10 -93.95280736686335 20 30.0685626455366 30 0.0 10 -91.86085424314754 20 30.46161812849213 30 0.0 10 -89.41553201364354 20 30.49078160262269 30 0.0 10 -96.29500514660674 20 34.63850910723261 30 0.0 10 -99.88531944463872 20 40.54336098273981 30 0.0 10 -100.1864749077395 20 48.20533722914429 30 0.0 10 -100.1448843862131 20 55.44539517283518 30 0.0 10 -96.61389921768385 20 61.20172378833725 30 0.0 10 -89.59361962027583 20 65.47422285752639 30 0.0 10 -96.72844853359875 20 66.15179759497214 30 0.0 10 -100.4671858735117 20 68.4959997371987 30 0.0 10 -100.8096312037664 20 72.50642841170944 30 0.0 10 -100.7948991395149 20 75.66430150399103 30 0.0 10 -99.07395351143938 20 77.54850245632316 30 0.0 10 -95.64679431953988 20 78.15893105058174 30 0.0 10 -92.91264345620672 20 78.41158094158912 30 0.0 10 -89.97515001895297 20 77.71426323368385 30 0.0 10 -86.83431400777856 20 76.06707814499008 30 0.0 10 -89.82562457770628 20 80.58280660151551 30 0.0 10 -90.66645463940864 20 85.57938161776062 30 0.0 10 -89.3572050653824 20 91.05700362997375 30 0.0 10 -88.31193003039361 20 95.4301216958195 30 0.0 10 -86.97000934789124 20 97.63111213862145 30 0.0 10 -83.7563147604525 20 100.7447890381485 30 0.0 10 -81.27852185872065 20 103.1455142024013 30 0.0 10 -78.71794878643264 20 104.6890737507136 30 0.0 10 -76.04072181762241 20 105.3753674649613 30 0.0 10 -73.96019356006082 20 106.0345020675616 30 0.0 10 -71.6755209835853 20 106.346080215575 30 0.0 10 -69.18660387007169 20 106.3101019090016 30 0.0 10 -74.12455128368322 20 109.3496173966502 30 0.0 10 -76.40912364203457 20 112.8064411533011 30 0.0 10 -76.04052138137412 20 116.6804729608301 30 0.0 10 -75.22945610254723 20 119.6910254105965 30 0.0 10 -73.44918134496898 20 121.085660826407 30 0.0 10 -70.69959689051522 20 120.8641787720134 30 0.0 10 -68.1703920910893 20 120.5719427219629 30 0.0 10 -65.79662560223552 20 119.2960657832819 30 0.0 10 -63.57839764207809 20 117.0365479559706 30 0.0 10 -62.96496250409474 20 116.3442411542733 30 0.0 10 -62.2602286550016 20 115.3056807336032 30 0.0 10 -61.46419609479872 20 113.9209669120845 30 0.0 10 -61.65070202386049 20 117.7694430979526 30 0.0 10 -60.66415480962945 20 121.5675095673684 30 0.0 10 -58.50445423398145 20 125.3149658840832 30 0.0 10 -56.19693192519743 20 129.6652342176204 30 0.0 10 -51.79054144213057 20 132.6101437960621 30 0.0 10 -45.2852827847808 20 134.149694619408 30 0.0 10 -40.33450745127681 20 135.2065949567993 30 0.0 10 -35.73529729732608 20 134.2570282303834 30 0.0 10 -31.48785275917696 20 131.3011948764083 30 0.0 10 -33.34319089175104 20 136.3874651137766 30 0.0 10 -33.83225533765183 20 140.0347033063315 30 0.0 10 -32.9556474056243 20 142.242909454073 30 0.0 10 -32.43972450244864 20 143.5422374338074 30 0.0 10 -30.71627342126912 20 145.033382903184 30 0.0 10 -29.41193453532671 20 145.4588088402432 30 0.0 10 -28.82024673028608 20 145.6517287292512 30 0.0 10 -28.20230177671551 20 145.7102561137606 30 0.0 10 -27.5578992383667 20 145.6347918662682 30 0.0 10 -25.21339644176767 20 145.3600939879456 30 0.0 10 -23.87398121236927 20 143.8906958515117 30 0.0 10 -22.72768630822719 20 141.9537801658714 30 0.0 10 -21.52246314707904 20 139.9169470104435 30 0.0 10 -20.82574674791871 20 137.4260255344467 30 0.0 10 -20.63783776511872 20 134.4813163922534 30 0.0 0 SPLINE 5 DC 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 41 73 37 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.0769230769230769 40 0.0769230769230769 40 0.0769230769230769 40 0.1538461538461539 40 0.1538461538461539 40 0.1538461538461539 40 0.2307692307692308 40 0.2307692307692308 40 0.2307692307692308 40 0.3076923076923077 40 0.3076923076923077 40 0.3076923076923077 40 0.3846153846153846 40 0.3846153846153846 40 0.3846153846153846 40 0.4615384615384616 40 0.4615384615384616 40 0.4615384615384616 40 0.5384615384615384 40 0.5384615384615384 40 0.5384615384615384 40 0.6153846153846154 40 0.6153846153846154 40 0.6153846153846154 40 0.6923076923076923 40 0.6923076923076923 40 0.6923076923076923 40 0.7692307692307693 40 0.7692307692307693 40 0.7692307692307693 40 0.8461538461538461 40 0.8461538461538461 40 0.8461538461538461 40 1.0 40 1.0 40 1.0 40 1.0 10 -66.37468374239042 20 -58.39267271488131 30 0.0 10 -66.31545483101186 20 -57.0126691451981 30 0.0 10 -66.25913224523393 20 -55.79962897036546 30 0.0 10 -66.20611685755328 20 -54.75345197225921 30 0.0 10 -66.13305784504065 20 -53.31291665558338 30 0.0 10 -66.06581148372929 20 -52.1886697387565 30 0.0 10 -66.00457820986753 20 -51.38131253052354 30 0.0 10 -67.93728473429313 20 -53.31792756179138 30 0.0 10 -69.92531166325506 20 -54.01524526969666 30 0.0 10 -71.96865899675332 20 -53.47316543611524 30 0.0 10 -74.66743286225794 20 -52.84409627076292 30 0.0 10 -76.26972023132801 20 -50.61865260566594 30 0.0 10 -76.77542088583938 20 -46.79703487707266 30 0.0 10 -77.16356568071106 20 -44.25179517778118 30 0.0 10 -76.79255818507075 20 -40.57248718549506 30 0.0 10 -75.66269905329088 20 -35.75911090021444 30 0.0 10 -76.33536310265282 20 -36.44941333942853 30 0.0 10 -77.0203539812864 20 -36.97916634373826 30 0.0 10 -77.71717059857089 20 -37.34847013126789 30 0.0 10 -78.87348731512898 20 -37.96120374238212 30 0.0 10 -80.38868513430401 20 -38.11243289173954 30 0.0 10 -81.69172118463231 20 -38.04007540609604 30 0.0 10 -83.21754212496835 20 -37.95539109118084 30 0.0 10 -84.45693966645504 20 -37.35819128931141 30 0.0 10 -85.4099138090925 20 -36.24867643673603 30 0.0 10 -86.51421731921155 20 -35.15850368212355 30 0.0 10 -87.14378757518465 20 -33.86538922608706 30 0.0 10 -87.29822370451524 20 -32.36943328675076 30 0.0 10 -87.39252895934978 20 -31.45664661190148 30 0.0 10 -87.2506200955392 20 -29.37641900871235 30 0.0 10 -86.97912919718978 20 -28.47726199874883 30 0.0 10 -86.6210498395661 20 -27.29057919057027 30 0.0 10 -85.8159976481888 20 -26.03855416543939 30 0.0 10 -84.5642732774304 20 -24.7211869233562 30 0.0 10 -83.45535973360002 20 -23.57419049234499 30 0.0 10 -82.53555779005956 20 -22.6839528954317 30 0.0 10 -81.80476722868481 20 -22.05067456886468 30 0.0 0 SPLINE 5 DD 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 98 73 94 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.03125 40 0.03125 40 0.03125 40 0.0625 40 0.0625 40 0.0625 40 0.09375 40 0.09375 40 0.09375 40 0.125 40 0.125 40 0.125 40 0.15625 40 0.15625 40 0.15625 40 0.1875 40 0.1875 40 0.1875 40 0.21875 40 0.21875 40 0.21875 40 0.25 40 0.25 40 0.25 40 0.28125 40 0.28125 40 0.28125 40 0.3125 40 0.3125 40 0.3125 40 0.34375 40 0.34375 40 0.34375 40 0.375 40 0.375 40 0.375 40 0.40625 40 0.40625 40 0.40625 40 0.4375 40 0.4375 40 0.4375 40 0.46875 40 0.46875 40 0.46875 40 0.5 40 0.5 40 0.5 40 0.53125 40 0.53125 40 0.53125 40 0.5625 40 0.5625 40 0.5625 40 0.59375 40 0.59375 40 0.59375 40 0.625 40 0.625 40 0.625 40 0.65625 40 0.65625 40 0.65625 40 0.6875 40 0.6875 40 0.6875 40 0.71875 40 0.71875 40 0.71875 40 0.75 40 0.75 40 0.75 40 0.78125 40 0.78125 40 0.78125 40 0.8125 40 0.8125 40 0.8125 40 0.84375 40 0.84375 40 0.84375 40 0.875 40 0.875 40 0.875 40 0.90625 40 0.90625 40 0.90625 40 0.9375 40 0.9375 40 0.9375 40 1.0 40 1.0 40 1.0 40 1.0 10 7.74313325200001 20 -127.6690523492263 30 0.0 10 6.406824784450565 20 -130.4831772756391 30 0.0 10 4.077655360848008 20 -131.2694886777985 30 0.0 10 0.7554245449440053 20 -130.0278863375802 30 0.0 10 1.514877489828486 20 -134.1030559202983 30 0.0 10 0.9064532580531319 20 -136.8847101744833 30 0.0 10 -1.06994836850623 20 -138.3730495363834 30 0.0 10 -3.262520488878717 20 -139.714469128265 30 0.0 10 -5.176285787838074 20 -139.7664823347041 30 0.0 10 -6.811544919756795 20 -138.5288887194523 30 0.0 10 -8.305496496609916 20 -137.6497753343207 30 0.0 10 -9.10664018114495 20 -135.9954747588116 30 0.0 10 -9.214875755237758 20 -133.5661874291731 30 0.0 10 -9.03478378612224 20 -130.7768163794279 30 0.0 10 -8.27252473376128 20 -128.3574506440814 30 0.0 10 -6.928299034403196 20 -126.3079900050093 30 0.0 10 -6.123046406777595 20 -125.0806186384218 30 0.0 10 -5.193222650821113 20 -123.9667944065076 30 0.0 10 -4.373538613316475 20 -122.685505689122 30 0.0 10 -3.755593659745915 20 -121.7193027540954 30 0.0 10 -3.218123859875836 20 -120.8138320023098 30 0.0 10 -2.76142986807871 20 -119.9690934337652 30 0.0 10 -5.593293402467838 20 -121.2909704914356 30 0.0 10 -8.085718150327033 20 -121.1128828848033 30 0.0 10 -10.23870411165631 20 -119.4350310501165 30 0.0 10 -11.26012723309503 20 -118.5976084046355 30 0.0 10 -12.02268693982848 20 -117.7137847676685 30 0.0 10 -12.52608257748416 20 -116.7834599210913 30 0.0 10 -13.6504297124352 20 -114.7060384253786 30 0.0 10 -13.21919112417472 20 -112.0358267252595 30 0.0 10 -12.74095023568319 20 -109.7944483784212 30 0.0 10 -12.370644266912 20 -108.05867046797 30 0.0 10 -11.75901305516351 20 -106.3615767534445 30 0.0 10 -10.90635725481024 20 -104.7029667985965 30 0.0 10 -13.93003827884159 20 -106.7767804418394 30 0.0 10 -16.77853802184128 20 -107.2069166307341 30 0.0 10 -19.45185648380928 20 -105.993575801529 30 0.0 10 -22.20565009947776 20 -104.8439736992896 30 0.0 10 -23.89693116280191 20 -102.7224562289466 30 0.0 10 -24.52569967378174 20 -99.62902339049987 30 0.0 10 -24.67352140691775 20 -97.13589711577157 30 0.0 10 -24.13955924139327 20 -94.94011801542595 30 0.0 10 -22.92341230471168 20 -93.04188652571139 30 0.0 10 -21.31220552259135 20 -90.77465190283974 30 0.0 10 -19.95485124896831 20 -88.98696100407366 30 0.0 10 -18.85124926571839 20 -87.67881382941313 30 0.0 10 -20.8611237457472 20 -88.05142481504005 30 0.0 10 -22.61173393857407 20 -87.93276655603461 30 0.0 10 -24.10318006232319 20 -87.32273883427268 30 0.0 10 -25.12309991189951 20 -88.97162763107714 30 0.0 10 -26.62156130433982 20 -89.95085892224454 30 0.0 10 -28.59846402151999 20 -90.2602322715264 30 0.0 10 -30.1423242242048 20 -90.36796675499843 30 0.0 10 -31.04739410349376 20 -90.44212816687684 30 0.0 10 -31.31347322313856 20 -90.48271650716163 30 0.0 10 -29.93557423406271 20 -92.38716152057413 30 0.0 10 -29.56466695654655 20 -94.28619475528195 30 0.0 10 -30.20075139059007 20 -96.179715993161 30 0.0 10 -30.88033049051904 20 -98.20762973553859 30 0.0 10 -32.55677927146751 20 -99.36484841521414 30 0.0 10 -35.23009773343551 20 -99.65137203218754 30 0.0 10 -38.22521659208128 20 -99.42006860162628 30 0.0 10 -40.74730590469186 20 -96.86821450614022 30 0.0 10 -42.79646588939136 20 -91.99601018197764 30 0.0 10 -44.61241829917057 20 -87.62349342487686 30 0.0 10 -45.26513894182465 20 -83.63260728457733 30 0.0 10 -44.75472803547777 20 -80.02345197920323 30 0.0 10 -43.99818141619393 20 -72.9779174145069 30 0.0 10 -43.1822054492832 20 -68.15622302492098 30 0.0 10 -42.3068001347456 20 -65.55836881044546 30 0.0 10 -41.79107766781824 20 -63.73169306138115 30 0.0 10 -41.30141191317247 20 -62.29256079844353 30 0.0 10 -40.83810352518081 20 -61.24117245788101 30 0.0 10 -44.28250023443583 20 -64.40004773140417 30 0.0 10 -47.39808127832192 20 -65.80941521146626 30 0.0 10 -50.18474643871488 20 -65.46947533431553 30 0.0 10 -52.25064285014912 20 -65.40603726172226 30 0.0 10 -54.19046486139008 20 -64.32297999392514 30 0.0 10 -56.00411225431361 20 -62.22020331280004 30 0.0 10 -56.24072724545536 20 -64.05048691433413 30 0.0 10 -57.0418709299904 20 -65.48951895914755 30 0.0 10 -58.40744308979455 20 -66.53749988348868 30 0.0 10 -60.7707868937357 20 -67.91429647319873 30 0.0 10 -62.80331066982465 20 -67.64721517231234 30 0.0 10 -64.50501441806146 20 -65.73635619895363 30 0.0 10 -65.55069032554688 20 -64.47952070386306 30 0.0 10 -66.2046135856909 20 -63.15143012249476 30 0.0 10 -66.46648354412098 20 -61.75218467297283 30 0.0 10 -66.66200910435714 20 -60.70791181922566 30 0.0 10 -66.53593470416385 20 -59.64068901504581 30 0.0 10 -66.39833521969219 20 -58.49980588960835 30 0.0 10 -66.39532867596739 20 -58.47404983169923 30 0.0 10 -66.41306728394369 20 -58.50561854080962 30 0.0 10 -66.37468374239042 20 -58.39267271488131 30 0.0 0 SPLINE 5 DE 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 236 73 232 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.0128205128205128 40 0.0128205128205128 40 0.0128205128205128 40 0.0256410256410256 40 0.0256410256410256 40 0.0256410256410256 40 0.0384615384615385 40 0.0384615384615385 40 0.0384615384615385 40 0.0512820512820513 40 0.0512820512820513 40 0.0512820512820513 40 0.0641025641025641 40 0.0641025641025641 40 0.0641025641025641 40 0.0769230769230769 40 0.0769230769230769 40 0.0769230769230769 40 0.0897435897435897 40 0.0897435897435897 40 0.0897435897435897 40 0.1025641025641026 40 0.1025641025641026 40 0.1025641025641026 40 0.1153846153846154 40 0.1153846153846154 40 0.1153846153846154 40 0.1282051282051282 40 0.1282051282051282 40 0.1282051282051282 40 0.141025641025641 40 0.141025641025641 40 0.141025641025641 40 0.1538461538461539 40 0.1538461538461539 40 0.1538461538461539 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.1794871794871795 40 0.1794871794871795 40 0.1794871794871795 40 0.1923076923076923 40 0.1923076923076923 40 0.1923076923076923 40 0.2051282051282051 40 0.2051282051282051 40 0.2051282051282051 40 0.217948717948718 40 0.217948717948718 40 0.217948717948718 40 0.2307692307692308 40 0.2307692307692308 40 0.2307692307692308 40 0.2435897435897436 40 0.2435897435897436 40 0.2435897435897436 40 0.2564102564102564 40 0.2564102564102564 40 0.2564102564102564 40 0.2692307692307692 40 0.2692307692307692 40 0.2692307692307692 40 0.282051282051282 40 0.282051282051282 40 0.282051282051282 40 0.2948717948717949 40 0.2948717948717949 40 0.2948717948717949 40 0.3076923076923077 40 0.3076923076923077 40 0.3076923076923077 40 0.3205128205128205 40 0.3205128205128205 40 0.3205128205128205 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.3461538461538461 40 0.3461538461538461 40 0.3461538461538461 40 0.358974358974359 40 0.358974358974359 40 0.358974358974359 40 0.3717948717948718 40 0.3717948717948718 40 0.3717948717948718 40 0.3846153846153846 40 0.3846153846153846 40 0.3846153846153846 40 0.3974358974358974 40 0.3974358974358974 40 0.3974358974358974 40 0.4102564102564102 40 0.4102564102564102 40 0.4102564102564102 40 0.4230769230769231 40 0.4230769230769231 40 0.4230769230769231 40 0.4358974358974359 40 0.4358974358974359 40 0.4358974358974359 40 0.4487179487179487 40 0.4487179487179487 40 0.4487179487179487 40 0.4615384615384616 40 0.4615384615384616 40 0.4615384615384616 40 0.4743589743589743 40 0.4743589743589743 40 0.4743589743589743 40 0.4871794871794872 40 0.4871794871794872 40 0.4871794871794872 40 0.5 40 0.5 40 0.5 40 0.5128205128205128 40 0.5128205128205128 40 0.5128205128205128 40 0.5256410256410257 40 0.5256410256410257 40 0.5256410256410257 40 0.5384615384615384 40 0.5384615384615384 40 0.5384615384615384 40 0.5512820512820513 40 0.5512820512820513 40 0.5512820512820513 40 0.5641025641025641 40 0.5641025641025641 40 0.5641025641025641 40 0.5769230769230769 40 0.5769230769230769 40 0.5769230769230769 40 0.5897435897435898 40 0.5897435897435898 40 0.5897435897435898 40 0.6025641025641025 40 0.6025641025641025 40 0.6025641025641025 40 0.6153846153846154 40 0.6153846153846154 40 0.6153846153846154 40 0.6282051282051282 40 0.6282051282051282 40 0.6282051282051282 40 0.6410256410256411 40 0.6410256410256411 40 0.6410256410256411 40 0.6538461538461539 40 0.6538461538461539 40 0.6538461538461539 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 0.6794871794871795 40 0.6794871794871795 40 0.6794871794871795 40 0.6923076923076923 40 0.6923076923076923 40 0.6923076923076923 40 0.7051282051282052 40 0.7051282051282052 40 0.7051282051282052 40 0.717948717948718 40 0.717948717948718 40 0.717948717948718 40 0.7307692307692307 40 0.7307692307692307 40 0.7307692307692307 40 0.7435897435897436 40 0.7435897435897436 40 0.7435897435897436 40 0.7564102564102564 40 0.7564102564102564 40 0.7564102564102564 40 0.7692307692307693 40 0.7692307692307693 40 0.7692307692307693 40 0.782051282051282 40 0.782051282051282 40 0.782051282051282 40 0.7948717948717948 40 0.7948717948717948 40 0.7948717948717948 40 0.8076923076923077 40 0.8076923076923077 40 0.8076923076923077 40 0.8205128205128205 40 0.8205128205128205 40 0.8205128205128205 40 0.8333333333333334 40 0.8333333333333334 40 0.8333333333333334 40 0.8461538461538461 40 0.8461538461538461 40 0.8461538461538461 40 0.8589743589743589 40 0.8589743589743589 40 0.8589743589743589 40 0.8717948717948718 40 0.8717948717948718 40 0.8717948717948718 40 0.8846153846153846 40 0.8846153846153846 40 0.8846153846153846 40 0.8974358974358975 40 0.8974358974358975 40 0.8974358974358975 40 0.9102564102564102 40 0.9102564102564102 40 0.9102564102564102 40 0.9230769230769231 40 0.9230769230769231 40 0.9230769230769231 40 0.9358974358974359 40 0.9358974358974359 40 0.9358974358974359 40 0.9487179487179487 40 0.9487179487179487 40 0.9487179487179487 40 0.9615384615384616 40 0.9615384615384616 40 0.9615384615384616 40 0.9743589743589743 40 0.9743589743589743 40 0.9743589743589743 40 1.0 40 1.0 40 1.0 40 1.0 10 -20.63783776511872 20 134.4813163922534 30 0.0 10 -18.11675063374974 20 138.9658770121651 30 0.0 10 -14.51050165397632 20 142.1286607925305 30 0.0 10 -9.819291262046711 20 143.9697679514739 30 0.0 10 -7.596453268177912 20 144.8419662860385 30 0.0 10 -6.312959752060799 20 145.0004111403354 30 0.0 10 -3.920051601492471 20 144.9809688242483 30 0.0 10 -0.0397060521414363 20 144.9492998970137 30 0.0 10 3.348668725708171 20 144.0605655719629 30 0.0 10 6.244671859559691 20 142.3146656309715 30 0.0 10 9.672733014576652 20 140.4587261896525 30 0.0 10 12.34324536906817 20 137.7586494885337 30 0.0 10 14.25610870491009 20 134.214235091367 30 0.0 10 14.50715510593089 20 139.4262790745561 30 0.0 10 15.87202573886593 20 142.9869288078368 30 0.0 10 18.35072060371521 20 144.8960840730848 30 0.0 10 18.91575038772929 20 145.2026513148902 30 0.0 10 19.46484549000193 20 145.426137731767 30 0.0 10 19.99800591053314 20 145.5661424512185 30 0.0 10 21.34383509987777 20 145.9195115570067 30 0.0 10 22.22495284749249 20 145.7155676743411 30 0.0 10 23.48880361127425 20 145.2310130440275 30 0.0 10 23.99981582636609 20 145.0349863931706 30 0.0 10 24.47945976859585 20 144.8134041206528 30 0.0 10 24.73531663957633 20 144.6330114971648 30 0.0 10 25.4284251862669 20 144.1442477056365 30 0.0 10 26.00788638016001 20 143.3567336859872 30 0.0 10 26.47349978500738 20 142.2702690019686 30 0.0 10 27.04504374709186 20 140.3884732846163 30 0.0 10 27.03762760590401 20 138.3336008668397 30 0.0 10 26.45125136144384 20 136.1058521848871 30 0.0 10 26.09176895008194 20 134.6439704077651 30 0.0 10 25.49657351069569 20 133.0992082419629 30 0.0 10 24.66576526140929 20 131.4712650331078 30 0.0 10 29.11975935345217 20 133.9290143100077 30 0.0 10 33.37752635838977 20 134.9230778835507 30 0.0 10 37.43946714871873 20 134.4532553174886 30 0.0 10 40.46044228339778 20 134.1193285277875 30 0.0 10 43.09657982130241 20 133.2844113354105 30 0.0 10 45.34767932618433 20 131.9488043947302 30 0.0 10 47.54245624528834 20 130.6466703075193 30 0.0 10 50.34535674179521 20 128.0268683238528 30 0.0 10 51.72997034518976 20 125.8717777819161 30 0.0 10 53.00815230072641 20 123.8822475810918 30 0.0 10 53.96764062143427 20 121.4054568606016 30 0.0 10 54.60833508918914 20 118.4416060566938 30 0.0 10 54.77820480964034 20 116.8409221776102 30 0.0 10 54.89696328676992 20 115.3424607851699 30 0.0 10 54.96451030245377 20 113.9462218793728 30 0.0 10 57.30440306534145 20 117.6086932268 30 0.0 10 59.70773390082242 20 119.834136891897 30 0.0 10 62.17480346326914 20 120.6223524384154 30 0.0 10 64.40535825269825 20 121.3480318754579 30 0.0 10 66.33405605215745 20 120.8584663389363 30 0.0 10 67.96089686164672 20 119.1536558288506 30 0.0 10 69.362246891776 20 117.8078266395059 30 0.0 10 69.79258351691904 20 115.9829548166765 30 0.0 10 69.25160608270338 20 113.6791405784864 30 0.0 10 68.47732085544321 20 111.8320203320934 30 0.0 10 67.5425864114029 20 110.3781560049043 30 0.0 10 66.44750296870656 20 109.3174473787949 30 0.0 10 65.22734730705858 20 108.1471001248544 30 0.0 10 63.93663808600193 20 107.1531367694355 30 0.0 10 62.57537530553667 20 106.3354570944141 30 0.0 10 65.31343467571202 20 106.3949866601651 30 0.0 10 67.88002083544961 20 105.9944148178976 30 0.0 10 70.2752340028736 20 105.1336413494873 30 0.0 10 73.03002979978369 20 104.3404148967609 30 0.0 10 75.62628052427266 20 102.6193690505613 30 0.0 10 78.06408639446465 20 99.97080446526078 30 0.0 10 79.91972518141121 20 98.20085217447104 30 0.0 10 81.388421790976 20 95.64919851523328 30 0.0 10 82.47027644128322 20 92.31554283317504 30 0.0 10 83.37514588432384 20 88.81181699441727 30 0.0 10 83.360213383824 20 85.34026117351488 30 0.0 10 82.42557915790788 20 81.9006749342195 30 0.0 10 82.03883741677441 20 79.94792478496191 30 0.0 10 81.32668742649345 20 78.01922698550271 30 0.0 10 80.28922940518915 20 76.11468175396607 30 0.0 10 82.99381592189502 20 77.54309067761857 30 0.0 10 85.64207985282306 20 78.25143237918142 30 0.0 10 88.23402119797314 20 78.2400075130272 30 0.0 10 89.76966351447682 20 78.24802496295999 30 0.0 10 91.07340109167426 20 77.84564919445758 30 0.0 10 92.14523392956546 20 77.03257955314749 30 0.0 10 93.24552871471809 20 76.3155188747827 30 0.0 10 93.95026256381122 20 75.09165514254077 30 0.0 10 94.25923504059648 20 73.36068770204925 30 0.0 10 94.28148346416 20 71.78796467960638 30 0.0 10 94.04396650990081 20 70.65299442349436 30 0.0 10 93.54688461406721 20 69.95577693371325 30 0.0 10 92.65995421525123 20 68.73602214456189 30 0.0 10 91.56216488320258 20 67.84598498389694 30 0.0 10 90.25331618167299 20 67.2853647973459 30 0.0 10 88.09421691476992 20 66.19970185832064 30 0.0 10 85.66112129641346 20 65.65070697417214 30 0.0 10 82.95402932660353 20 65.63858058114879 30 0.0 10 85.89312625384383 20 63.94459362847229 30 0.0 10 88.34105415457601 20 61.73398224575104 30 0.0 10 90.29791324692417 20 59.00694686923325 30 0.0 10 92.8449568724506 20 55.03470130002749 30 0.0 10 93.913181857872 20 50.71750494746301 30 0.0 10 93.50238776694019 20 46.0551573752915 30 0.0 10 92.00823575383873 20 38.42525092861821 30 0.0 10 88.50691515006079 20 33.24748154389181 30 0.0 10 82.99862639185471 20 30.52194943923645 30 0.0 10 89.2177623047277 20 30.37342617923133 30 0.0 10 92.83734029501441 20 28.53342141965373 30 0.0 10 93.85746058083906 20 25.00213559675197 30 0.0 10 94.15160077524862 20 23.66712996481661 30 0.0 10 94.14989706713794 20 22.47483494168508 30 0.0 10 93.85275032900353 20 21.42505009110909 30 0.0 10 93.16294898041026 20 18.98874749277948 30 0.0 10 91.73634398299266 20 18.51030616803965 30 0.0 10 89.44696115468159 20 18.04850105191037 30 0.0 10 88.03478756714306 20 17.76368114304765 30 0.0 10 86.775145964576 20 17.76708855926909 30 0.0 10 85.66803634698049 20 18.058923736823 30 0.0 10 83.82282024494658 20 18.5362626621971 30 0.0 10 81.97199192795968 20 19.26695300544764 30 0.0 10 80.115651614144 20 20.25109498469885 30 0.0 10 82.97507513267715 20 15.28047646261117 30 0.0 10 83.85408829968449 20 10.07314273125757 30 0.0 10 82.75249067891778 20 4.628893354389725 30 0.0 10 81.30283551294337 20 0.30408042438909 30 0.0 10 79.16648576022466 20 -3.108246485134751 30 0.0 10 76.34344142076164 20 -5.607886937933467 30 0.0 10 74.31512680588736 20 -7.340257432163233 30 0.0 10 71.57045303951745 20 -8.675463500346908 30 0.0 10 68.10942012165185 20 -9.613605360608666 30 0.0 10 72.24512145136258 20 -8.930719062582423 30 0.0 10 75.47835857301247 20 -8.80083637367106 30 0.0 10 77.80853017785664 20 -9.224257948247079 30 0.0 10 79.75346331342976 20 -9.577627054035226 30 0.0 10 82.32125209065728 20 -11.05434111353283 30 0.0 10 83.42635734576962 20 -12.72307309892099 30 0.0 10 84.19402817683522 20 -13.88189526858307 30 0.0 10 84.45148853780225 20 -15.31932382340995 30 0.0 10 84.19863821054658 20 -17.03505810902915 30 0.0 10 83.98968342167298 20 -18.63674416935427 30 0.0 10 83.08471376050818 20 -19.93486953159874 30 0.0 10 81.48372922705217 20 -20.92953441388675 30 0.0 10 79.95630479672963 20 -21.94053485041282 30 0.0 10 77.96086172657985 20 -22.29660984555332 30 0.0 10 75.4974000166029 20 -21.99765918118403 30 0.0 10 79.0927252208429 20 -24.87161432772035 30 0.0 10 80.94706117217538 20 -27.98719537160643 30 0.0 10 81.0607085249728 20 -31.34410165846978 30 0.0 10 80.64079458474242 20 -34.16133334673159 30 0.0 10 79.58730166357248 20 -36.16419255806916 30 0.0 10 77.90063063395971 20 -37.35267929248261 30 0.0 10 75.89686945950467 20 -38.17086005812484 30 0.0 10 74.10176241955072 20 -38.2894180990061 30 0.0 10 72.51520929597376 20 -37.70865406949891 30 0.0 10 70.94338823664833 20 -36.71178438847937 30 0.0 10 69.8751632512269 20 -35.880976139193 30 0.0 10 69.31063455783361 20 -35.21632953976388 30 0.0 10 70.522271678928 20 -41.41241528408004 30 0.0 10 70.81901754456577 20 -45.52195968338501 30 0.0 10 70.20077193662274 20 -47.54496273767874 30 0.0 10 69.86504122068673 20 -50.58558062469314 30 0.0 10 68.58164792269375 20 -52.52159434721605 30 0.0 10 66.350692260768 20 -53.35310412337154 30 0.0 10 63.63538240477699 20 -54.05392946562245 30 0.0 10 61.42477102205569 20 -53.37154425821699 30 0.0 10 59.71895833072834 20 -51.30584828303107 30 0.0 10 60.23187469017921 20 -56.02872760219522 30 0.0 10 60.20221012542785 20 -60.07894287199747 30 0.0 10 59.6298644183501 20 -63.45639387431363 30 0.0 10 58.70745680358147 20 -66.03440490020549 30 0.0 10 56.98651117550594 20 -67.31028183888643 30 0.0 10 54.46692731599936 20 -67.28412490848066 30 0.0 10 52.12603237187008 20 -67.03558396056387 30 0.0 10 50.55340956755137 20 -65.64104876287746 30 0.0 10 49.74915912116738 20 -63.10041909729729 30 0.0 10 48.92496526807552 20 -63.97873073743555 30 0.0 10 48.1595994538656 20 -64.70260624824323 30 0.0 10 47.45346255103425 20 -65.27184519347203 30 0.0 10 45.59171045851393 20 -66.77231094839556 30 0.0 10 44.83997430918978 20 -66.96773629050756 30 0.0 10 42.58847393181122 20 -66.73132173561412 30 0.0 10 42.16535301160769 20 -66.68682488848708 30 0.0 10 41.7729990555213 20 -66.62970055771586 30 0.0 10 41.41151228167617 20 -66.5599487433005 30 0.0 10 39.78958216027074 20 -66.24716797779715 30 0.0 10 38.176872106288 20 -65.19557920098629 30 0.0 10 36.93617172918724 20 -64.10951538946433 30 0.0 10 36.34328130665665 20 -63.59058594256388 30 0.0 10 35.74808586727042 20 -62.95740783412099 30 0.0 10 35.15068562915265 20 -62.21018150038401 30 0.0 10 37.47153694844994 20 -70.56977610906624 30 0.0 10 38.61011505703169 20 -76.54488088960964 30 0.0 10 38.5665201730221 20 -80.13549584201411 30 0.0 10 38.73188007788609 20 -86.23366847902595 30 0.0 10 37.36690922682691 20 -91.48559927563075 30 0.0 10 34.47140718359618 20 -95.8910877955802 30 0.0 10 33.59479925156864 20 -97.08017583873858 30 0.0 10 32.6265919540589 20 -97.98133721118529 30 0.0 10 31.56678529106689 20 -98.59447169479617 30 0.0 10 29.68368673810049 20 -99.68394292253956 30 0.0 10 28.02768245448065 20 -99.82915898444743 30 0.0 10 26.12323744106817 20 -98.71804064188547 30 0.0 10 25.45889149601153 20 -98.3303969376346 30 0.0 10 24.90528657815169 20 -97.82168973939841 30 0.0 10 24.4625229056128 20 -97.19201926530114 30 0.0 10 23.43699084108353 20 -95.73344468627651 30 0.0 10 23.52909129718657 20 -94.91526392063429 30 0.0 10 23.89258243351489 20 -93.22899376351813 30 0.0 10 24.17319318116289 20 -91.92816251192131 30 0.0 10 24.64331640159746 20 -90.93129283090178 30 0.0 10 25.30295209481857 20 -90.23868537483204 30 0.0 10 23.09374376583553 20 -90.19138242022852 30 0.0 10 21.38763042013569 20 -89.40507101806915 30 0.0 10 20.18441162147073 20 -87.87975116835395 30 0.0 10 18.16461554715008 20 -90.97488771491139 30 0.0 10 15.16027662108161 20 -92.04301248220865 30 0.0 10 11.17119440701697 20 -91.08412547024581 30 0.0 10 13.74680019792897 20 -94.33590294486532 30 0.0 10 14.49262347792769 20 -97.53376306868677 30 0.0 10 13.40876446513729 20 -100.6775054054618 30 0.0 10 12.57555098087105 20 -103.0946663420768 30 0.0 10 11.90168431401921 20 -103.9285813532122 30 0.0 10 9.465381715689611 20 -104.6039512919265 30 0.0 10 6.07610497472257 20 -105.5434962059264 30 0.0 10 2.979866028799368 20 -104.4194497253479 30 0.0 10 0.176965532292487 20 -101.2315111958183 30 0.0 10 0.8941264287814468 20 -106.00419892269 30 0.0 10 3.030476181500165 20 -111.2857942840461 30 0.0 10 6.586014790448644 20 -117.0761970617626 30 0.0 10 8.645898114433285 20 -120.990616773328 30 0.0 10 9.031537456200974 20 -124.5215017237332 30 0.0 10 7.74313325200001 20 -127.6690523492263 30 0.0 0 SPLINE 5 DF 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 20 73 16 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.1666666666666667 40 0.1666666666666667 40 0.1666666666666667 40 0.3333333333333333 40 0.3333333333333333 40 0.3333333333333333 40 0.5 40 0.5 40 0.5 40 0.6666666666666666 40 0.6666666666666666 40 0.6666666666666666 40 1.0 40 1.0 40 1.0 40 1.0 10 -77.70925336676225 20 25.48398433771325 30 0.0 10 -82.12195759165122 20 22.86969435087549 30 0.0 10 -85.03680173284482 20 19.39473111375165 30 0.0 10 -86.45388600846721 20 15.05909462634173 30 0.0 10 -88.35532447815491 20 10.2228686087526 30 0.0 10 -87.99924948301442 20 5.594094108174698 30 0.0 10 -85.38576124116994 20 1.172771124607977 30 0.0 10 -84.08192344584833 20 -2.249377161083549 30 0.0 10 -81.08510087909183 20 -4.860560386072343 30 0.0 10 -76.39519332277634 20 -6.660678332234271 30 0.0 10 -70.73076472712899 20 -8.757041053413147 30 0.0 10 -65.3093650825696 20 -8.243924257713942 30 0.0 10 -60.13099438909823 20 -5.12132794513667 30 0.0 10 -58.12613081527745 20 -3.867398775646741 30 0.0 10 -56.39536381103426 20 -2.667888047575705 30 0.0 10 -54.93869337636865 20 -1.522795760923543 30 0.0 0 SPLINE 5 E0 330 1F 100 AcDbEntity 8 layer 1 6 K5LT32768 62 5 420 255 370 60 100 AcDbSpline 210 0.0 220 0.0 230 1.0 70 8 71 3 72 26 73 22 74 0 42 0.000000001 43 0.0 40 0.0 40 0.0 40 0.0 40 0.0 40 0.125 40 0.125 40 0.125 40 0.25 40 0.25 40 0.25 40 0.375 40 0.375 40 0.375 40 0.5 40 0.5 40 0.5 40 0.625 40 0.625 40 0.625 40 0.75 40 0.75 40 0.75 40 1.0 40 1.0 40 1.0 40 1.0 10 -54.93869337636865 20 -1.522795760923543 30 0.0 10 -53.96928346136896 20 -0.727765381962266 30 0.0 10 -52.99135500581568 20 0.1411257545049338 30 0.0 10 -52.00500822783297 20 1.083777430353903 30 0.0 10 -59.44059173176001 20 -1.497240139262743 30 0.0 10 -65.82007664328897 20 -1.111500579370903 30 0.0 10 -71.14336274429571 20 2.240895891905245 30 0.0 10 -74.76053549960258 20 4.994288635077095 30 0.0 10 -76.6298039514349 20 8.70326119211453 30 0.0 10 -76.75126831791681 20 13.36781356301757 30 0.0 10 -76.78093288266817 20 16.7891601037158 30 0.0 10 -75.50926510520195 20 20.45503886736445 30 0.0 10 -72.93656563989057 20 24.36544985396349 30 0.0 10 -71.4234724013229 20 26.66515514906301 30 0.0 10 -70.68727006124355 20 27.22988427870461 30 0.0 10 -68.48006609474368 20 28.86364013876093 30 0.0 10 -68.24776048294082 20 29.03551422169533 30 0.0 10 -68.0080387299501 20 29.21119659334782 30 0.0 10 -67.7607003995232 20 29.39058703559421 30 0.0 10 -71.31383377349185 20 28.58503375359613 30 0.0 10 -74.63005150194626 20 27.28279944826109 30 0.0 10 -77.70925336676225 20 25.48398433771325 30 0.0 0 CIRCLE 5 E2 330 1F 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbCircle 10 201.66831396887 20 38.42525092861821 30 0.0 40 39.6474771154455 0 LINE 5 E3 330 1F 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbLine 10 179.66831396887 20 9.425250928618212 30 0.0 11 223.66831396887 21 9.425250928618212 31 0.0 0 LINE 5 E4 330 1F 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbLine 10 230.66831396887 20 16.42525092861821 30 0.0 11 230.66831396887 21 60.42525092861821 31 0.0 0 LINE 5 E5 330 1F 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbLine 10 223.66831396887 20 67.42525092861821 30 0.0 11 179.66831396887 21 67.42525092861821 31 0.0 0 LINE 5 E6 330 1F 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbLine 10 172.66831396887 20 60.42525092861821 30 0.0 11 172.66831396887 21 16.42525092861821 31 0.0 0 ARC 5 E7 330 1F 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbCircle 10 179.66831396887 20 60.42525092861821 30 0.0 40 7.0 100 AcDbArc 50 90.0 51 180.0 0 ARC 5 E8 330 1F 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbCircle 10 223.66831396887 20 60.42525092861821 30 0.0 40 7.0 100 AcDbArc 50 0.0 51 90.0 0 ARC 5 E9 330 1F 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbCircle 10 223.66831396887 20 16.42525092861821 30 0.0 40 7.0 100 AcDbArc 50 270.0 51 0.0 0 ARC 5 EA 330 1F 100 AcDbEntity 8 layer 1 6 K5LT_BASIC 62 5 420 255 370 60 100 AcDbCircle 10 179.66831396887 20 16.42525092861821 30 0.0 40 7.0 100 AcDbArc 50 180.0 51 270.0 0 INSERT 5 EC 330 1F 100 AcDbEntity 8 layer 1 100 AcDbBlockReference 2 U0 10 0.0 20 0.0 30 0.0 0 INSERT 5 F5 330 1F 100 AcDbEntity 8 layer 1 100 AcDbBlockReference 2 U1 10 0.0 20 0.0 30 0.0 0 ENDSEC 0 SECTION 2 OBJECTS 0 DICTIONARY 5 C 330 0 100 AcDbDictionary 281 1 3 ACAD_DETAILVIEWSTYLE 350 13D 3 ACAD_GROUP 350 D 3 ACAD_LAYOUT 350 1A 3 ACAD_MATERIAL 350 43 3 ACAD_MLEADERSTYLE 350 6B 3 ACAD_MLINESTYLE 350 17 3 ACAD_PLOTSETTINGS 350 19 3 ACAD_PLOTSTYLENAME 350 E 3 ACAD_SCALELIST 350 47 3 ACAD_SECTIONVIEWSTYLE 350 13E 3 ACAD_TABLESTYLE 350 69 3 ACAD_VISUALSTYLE 350 2A 3 ACDB_RECOMPOSE_DATA 350 13A 3 AcDbVariableDictionary 350 102 0 SUN 5 6D 330 29 100 AcDbSun 90 1 290 0 63 7 421 16777215 40 1.0 291 1 91 2455826 92 54000000 292 0 70 2 71 256 280 1 0 DICTIONARY 5 13D 102 {ACAD_REACTORS 330 C 102 } 330 C 100 AcDbDictionary 281 1 0 DICTIONARY 5 D 102 {ACAD_REACTORS 330 C 102 } 330 C 100 AcDbDictionary 281 1 0 DICTIONARY 5 1A 102 {ACAD_REACTORS 330 C 102 } 330 C 100 AcDbDictionary 281 1 3 Layout1 350 1E 3 Layout2 350 26 3 Model 350 22 0 DICTIONARY 5 43 102 {ACAD_REACTORS 330 C 102 } 330 C 100 AcDbDictionary 281 1 3 ByBlock 350 45 3 ByLayer 350 44 3 Global 350 46 0 DICTIONARY 5 6B 102 {ACAD_REACTORS 330 C 102 } 330 C 100 AcDbDictionary 281 1 3 Standard 350 6C 0 DICTIONARY 5 17 102 {ACAD_REACTORS 330 C 102 } 330 C 100 AcDbDictionary 281 1 3 Standard 350 18 0 DICTIONARY 5 19 102 {ACAD_REACTORS 330 C 102 } 330 C 100 AcDbDictionary 281 1 0 ACDBDICTIONARYWDFLT 5 E 102 {ACAD_REACTORS 330 C 102 } 330 C 100 AcDbDictionary 281 1 3 Normal 350 F 100 AcDbDictionaryWithDefault 340 F 0 DICTIONARY 5 47 102 {ACAD_REACTORS 330 C 102 } 330 C 100 AcDbDictionary 281 1 3 A0 350 48 3 A1 350 49 3 A2 350 4A 3 A3 350 4B 3 A4 350 4C 3 A5 350 4D 3 A6 350 4E 3 A7 350 4F 3 A8 350 50 3 A9 350 51 3 B0 350 52 3 B1 350 53 3 B2 350 54 3 B3 350 55 3 B4 350 56 3 B5 350 57 3 B6 350 58 3 B7 350 59 3 B8 350 5A 3 B9 350 5B 3 C0 350 5C 3 C1 350 5D 3 C2 350 5E 3 C3 350 5F 3 C4 350 60 3 C5 350 61 3 C6 350 62 3 C7 350 63 3 C8 350 64 3 C9 350 65 3 D0 350 66 3 D1 350 67 3 D2 350 68 0 DICTIONARY 5 13E 102 {ACAD_REACTORS 330 C 102 } 330 C 100 AcDbDictionary 281 1 0 DICTIONARY 5 69 102 {ACAD_REACTORS 330 C 102 } 330 C 100 AcDbDictionary 281 1 3 Standard 350 6A 0 DICTIONARY 5 2A 102 {ACAD_REACTORS 330 C 102 } 330 C 100 AcDbDictionary 281 1 3 2dWireframe 350 2F 3 Basic 350 32 3 Brighten 350 36 3 ColorChange 350 3A 3 Conceptual 350 34 3 Dim 350 35 3 EdgeColorOff 350 3D 3 Facepattern 350 39 3 Flat 350 2B 3 FlatWithEdges 350 2C 3 Gouraud 350 2D 3 GouraudWithEdges 350 2E 3 Hidden 350 31 3 JitterOff 350 3B 3 Linepattern 350 38 3 OverhangOff 350 3C 3 Realistic 350 33 3 Shaded 350 42 3 Shaded with edges 350 41 3 Shades of Gray 350 3E 3 Sketchy 350 3F 3 Thicken 350 37 3 Wireframe 350 30 3 X-Ray 350 40 0 XRECORD 5 13A 102 {ACAD_REACTORS 330 C 102 } 330 C 100 AcDbXrecord 280 1 90 1 330 6A 0 DICTIONARY 5 102 102 {ACAD_REACTORS 330 C 102 } 330 C 100 AcDbDictionary 281 1 3 CANNOSCALE 350 103 3 CMLEADERSTYLE 350 13C 3 CTABLESTYLE 350 13B 3 WIPEOUTFRAME 350 13F 3 XCLIPFRAME 350 104 0 LAYOUT 5 1E 102 {ACAD_REACTORS 330 1A 102 } 330 1A 100 AcDbPlotSettings 1 2 none_device 4 6 40 0.0 41 0.0 42 0.0 43 0.0 44 0.0 45 0.0 46 0.0 47 0.0 48 0.0 49 0.0 140 0.0 141 0.0 142 1.0 143 1.0 70 688 72 1 73 0 74 5 7 75 16 76 0 77 2 78 300 147 1.0 148 0.0 149 0.0 100 AcDbLayout 1 Layout1 70 1 71 1 10 0.0 20 0.0 11 420.0 21 297.0 12 0.0 22 0.0 32 0.0 14 1.000000000000000E+20 24 1.000000000000000E+20 34 1.000000000000000E+20 15 -1.000000000000000E+20 25 -1.000000000000000E+20 35 -1.000000000000000E+20 146 0.0 13 0.0 23 0.0 33 0.0 16 1.0 26 0.0 36 0.0 17 0.0 27 1.0 37 0.0 76 0 330 1B 0 LAYOUT 5 26 102 {ACAD_REACTORS 330 1A 102 } 330 1A 100 AcDbPlotSettings 1 2 none_device 4 6 40 0.0 41 0.0 42 0.0 43 0.0 44 0.0 45 0.0 46 0.0 47 0.0 48 0.0 49 0.0 140 0.0 141 0.0 142 1.0 143 1.0 70 688 72 1 73 0 74 5 7 75 16 76 0 77 2 78 300 147 1.0 148 0.0 149 0.0 100 AcDbLayout 1 Layout2 70 1 71 2 10 0.0 20 0.0 11 0.0 21 0.0 12 0.0 22 0.0 32 0.0 14 0.0 24 0.0 34 0.0 15 0.0 25 0.0 35 0.0 146 0.0 13 0.0 23 0.0 33 0.0 16 1.0 26 0.0 36 0.0 17 0.0 27 1.0 37 0.0 76 0 330 23 0 LAYOUT 5 22 102 {ACAD_REACTORS 330 1A 102 } 330 1A 100 AcDbPlotSettings 1 2 none_device 4 Letter_(8.50_x_11.00_Inches) 6 40 6.35 41 6.35 42 6.35000508 43 6.35000508 44 215.9 45 279.4 46 0.0 47 0.0 48 0.0 49 0.0 140 0.0 141 0.0 142 1.0 143 1.0 70 1712 72 1 73 0 74 0 7 75 0 76 0 77 2 78 300 147 1.0 148 0.0 149 0.0 100 AcDbLayout 1 Model 70 1 71 0 10 0.0 20 0.0 11 420.0 21 297.0 12 0.0 22 0.0 32 0.0 14 -100.7841241696065 24 -139.4180990805929 34 0.0 15 243.3157910843155 25 145.6624906827389 35 0.0 146 0.0 13 0.0 23 0.0 33 0.0 16 1.0 26 0.0 36 0.0 17 0.0 27 1.0 37 0.0 76 0 330 1F 331 29 0 MATERIAL 5 45 102 {ACAD_REACTORS 330 43 102 } 330 43 100 AcDbMaterial 1 ByBlock 72 1 94 127 0 MATERIAL 5 44 102 {ACAD_REACTORS 330 43 102 } 330 43 100 AcDbMaterial 1 ByLayer 72 1 94 127 0 MATERIAL 5 46 102 {ACAD_REACTORS 330 43 102 } 330 43 100 AcDbMaterial 1 Global 72 1 94 127 0 MLEADERSTYLE 5 6C 102 {ACAD_REACTORS 330 6B 102 } 102 {ACAD_XDICTIONARY 360 138 102 } 330 6B 100 AcDbMLeaderStyle 170 2 171 1 172 0 90 2 40 0.0 41 0.0 173 1 91 -1056964608 340 14 92 -2 290 1 42 2.0 291 1 43 8.0 3 Standard 341 0 44 4.0 300 342 11 174 1 178 6 175 1 176 0 93 -1056964608 45 4.0 292 0 297 0 46 4.0 343 0 94 -1056964608 47 1.0 49 1.0 140 1.0 293 1 141 0.0 294 1 177 0 142 1.0 295 0 296 0 143 3.75 1001 ACAD_MLEADERVER 1070 2 0 MLINESTYLE 5 18 102 {ACAD_REACTORS 330 17 102 } 330 17 100 AcDbMlineStyle 2 Standard 70 0 3 62 256 51 90.0 52 90.0 71 2 49 0.5 62 256 6 BYLAYER 49 -0.5 62 256 6 BYLAYER 0 ACDBPLACEHOLDER 5 F 102 {ACAD_REACTORS 330 E 102 } 330 E 0 SCALE 5 48 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1:1 140 1.0 141 1.0 290 1 0 SCALE 5 49 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1:2 140 1.0 141 2.0 290 0 0 SCALE 5 4A 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1:4 140 1.0 141 4.0 290 0 0 SCALE 5 4B 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1:5 140 1.0 141 5.0 290 0 0 SCALE 5 4C 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1:8 140 1.0 141 8.0 290 0 0 SCALE 5 4D 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1:10 140 1.0 141 10.0 290 0 0 SCALE 5 4E 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1:16 140 1.0 141 16.0 290 0 0 SCALE 5 4F 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1:20 140 1.0 141 20.0 290 0 0 SCALE 5 50 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1:30 140 1.0 141 30.0 290 0 0 SCALE 5 51 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1:40 140 1.0 141 40.0 290 0 0 SCALE 5 52 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1:50 140 1.0 141 50.0 290 0 0 SCALE 5 53 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1:100 140 1.0 141 100.0 290 0 0 SCALE 5 54 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 2:1 140 2.0 141 1.0 290 0 0 SCALE 5 55 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 4:1 140 4.0 141 1.0 290 0 0 SCALE 5 56 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 8:1 140 8.0 141 1.0 290 0 0 SCALE 5 57 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 10:1 140 10.0 141 1.0 290 0 0 SCALE 5 58 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 100:1 140 100.0 141 1.0 290 0 0 SCALE 5 59 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1/128" = 1'-0" 140 0.0078125 141 12.0 290 0 0 SCALE 5 5A 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1/64" = 1'-0" 140 0.015625 141 12.0 290 0 0 SCALE 5 5B 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1/32" = 1'-0" 140 0.03125 141 12.0 290 0 0 SCALE 5 5C 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1/16" = 1'-0" 140 0.0625 141 12.0 290 0 0 SCALE 5 5D 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 3/32" = 1'-0" 140 0.09375 141 12.0 290 0 0 SCALE 5 5E 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1/8" = 1'-0" 140 0.125 141 12.0 290 0 0 SCALE 5 5F 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 3/16" = 1'-0" 140 0.1875 141 12.0 290 0 0 SCALE 5 60 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1/4" = 1'-0" 140 0.25 141 12.0 290 0 0 SCALE 5 61 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 3/8" = 1'-0" 140 0.375 141 12.0 290 0 0 SCALE 5 62 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1/2" = 1'-0" 140 0.5 141 12.0 290 0 0 SCALE 5 63 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 3/4" = 1'-0" 140 0.75 141 12.0 290 0 0 SCALE 5 64 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1" = 1'-0" 140 1.0 141 12.0 290 0 0 SCALE 5 65 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1-1/2" = 1'-0" 140 1.5 141 12.0 290 0 0 SCALE 5 66 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 3" = 1'-0" 140 3.0 141 12.0 290 0 0 SCALE 5 67 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 6" = 1'-0" 140 6.0 141 12.0 290 0 0 SCALE 5 68 102 {ACAD_REACTORS 330 47 102 } 330 47 100 AcDbScale 70 0 300 1'-0" = 1'-0" 140 12.0 141 12.0 290 0 0 TABLESTYLE 5 6A 102 {ACAD_REACTORS 330 69 102 } 102 {ACAD_XDICTIONARY 360 135 102 } 330 69 100 AcDbTableStyle 3 Standard 70 0 71 0 40 0.06 41 0.06 280 0 281 0 7 Standard 140 4.5 170 2 62 0 63 257 283 0 90 4 91 0 1 274 -2 284 1 64 0 275 -2 285 1 65 0 276 -2 286 1 66 0 277 -2 287 1 67 0 278 -2 288 1 68 0 279 -2 289 1 69 0 7 Standard 140 6.0 170 5 62 0 63 257 283 0 90 4 91 0 1 274 -2 284 1 64 0 275 -2 285 1 65 0 276 -2 286 1 66 0 277 -2 287 1 67 0 278 -2 288 1 68 0 279 -2 289 1 69 0 7 Standard 140 4.5 170 5 62 0 63 257 283 0 90 4 91 0 1 274 -2 284 1 64 0 275 -2 285 1 65 0 276 -2 286 1 66 0 277 -2 287 1 67 0 278 -2 288 1 68 0 279 -2 289 1 69 0 0 VISUALSTYLE 5 2F 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 10D 102 } 330 2A 100 AcDbVisualStyle 2 2dWireframe 70 4 71 0 72 2 73 0 90 0 40 0.6 41 30.0 62 5 63 7 421 16777215 74 1 91 4 64 7 65 257 75 1 175 1 42 1.0 92 0 66 257 43 1.0 76 1 77 6 78 2 67 7 79 5 170 0 171 0 290 0 174 0 93 1 44 0.0 173 0 291 0 45 0.0 0 VISUALSTYLE 5 32 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 113 102 } 330 2A 100 AcDbVisualStyle 2 Basic 70 7 71 1 72 0 73 1 90 0 40 0.6 41 30.0 62 5 63 7 421 16777215 74 0 91 4 64 7 65 257 75 1 175 1 42 1.0 92 8 66 7 43 1.0 76 1 77 6 78 2 67 7 79 5 170 0 171 0 290 0 174 0 93 1 44 0.0 173 0 291 1 45 0.0 0 VISUALSTYLE 5 36 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 11B 102 } 330 2A 100 AcDbVisualStyle 2 Brighten 70 12 71 2 72 2 73 0 90 0 40 0.6 41 30.0 62 5 63 7 421 16777215 74 1 91 4 64 7 65 257 75 1 175 1 42 1.0 92 8 66 7 43 1.0 76 1 77 6 78 2 67 7 79 5 170 0 171 0 290 0 174 0 93 1 44 50.0 173 0 291 1 45 0.0 0 VISUALSTYLE 5 3A 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 123 102 } 330 2A 100 AcDbVisualStyle 2 ColorChange 70 16 71 2 72 2 73 3 90 0 40 0.6 41 30.0 62 5 63 8 421 8421504 74 1 91 4 64 7 65 257 75 1 175 1 42 1.0 92 8 66 8 424 8421504 43 1.0 76 1 77 6 78 2 67 7 79 5 170 0 171 0 290 0 174 0 93 1 44 0.0 173 0 291 1 45 0.0 0 VISUALSTYLE 5 34 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 117 102 } 330 2A 100 AcDbVisualStyle 2 Conceptual 70 9 71 3 72 2 73 0 90 0 40 0.6 41 30.0 62 5 63 7 421 16777215 74 2 91 2 64 7 65 257 75 1 175 1 42 179.0 92 8 66 7 43 1.0 76 1 77 6 78 2 67 7 79 3 170 0 171 0 290 0 174 0 93 1 44 0.0 173 0 291 0 45 0.0 0 VISUALSTYLE 5 35 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 119 102 } 330 2A 100 AcDbVisualStyle 2 Dim 70 11 71 2 72 2 73 0 90 0 40 0.6 41 30.0 62 5 63 7 421 16777215 74 1 91 4 64 7 65 257 75 1 175 1 42 1.0 92 8 66 7 43 1.0 76 1 77 6 78 2 67 7 79 5 170 0 171 0 290 0 174 0 93 1 44 -50.0 173 0 291 1 45 0.0 0 VISUALSTYLE 5 3D 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 129 102 } 330 2A 100 AcDbVisualStyle 2 EdgeColorOff 70 22 71 2 72 2 73 0 90 0 40 0.6 41 30.0 62 5 63 7 421 16777215 74 1 91 4 64 7 65 257 75 1 175 1 42 1.0 92 8 66 7 43 1.0 76 1 77 6 78 2 67 7 79 5 170 0 171 0 290 0 174 0 93 1 44 0.0 173 0 291 1 45 0.0 0 VISUALSTYLE 5 39 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 121 102 } 330 2A 100 AcDbVisualStyle 2 Facepattern 70 15 71 2 72 2 73 0 90 0 40 0.6 41 30.0 62 5 63 7 421 16777215 74 1 91 4 64 7 65 257 75 1 175 1 42 1.0 92 8 66 7 43 1.0 76 1 77 6 78 2 67 7 79 5 170 0 171 0 290 0 174 0 93 1 44 0.0 173 0 291 1 45 0.0 0 VISUALSTYLE 5 2B 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 105 102 } 330 2A 100 AcDbVisualStyle 2 Flat 70 0 71 2 72 1 73 1 90 2 40 0.6 41 30.0 62 5 63 7 421 16777215 74 0 91 0 64 7 65 257 75 1 175 1 42 1.0 92 8 66 7 43 1.0 76 1 77 6 78 2 67 7 79 5 170 0 171 0 290 0 174 0 93 13 44 0.0 173 0 291 1 45 0.0 0 VISUALSTYLE 5 2C 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 107 102 } 330 2A 100 AcDbVisualStyle 2 FlatWithEdges 70 1 71 2 72 1 73 1 90 2 40 0.6 41 30.0 62 5 63 7 421 16777215 74 1 91 0 64 7 65 257 75 1 175 1 42 1.0 92 0 66 257 43 1.0 76 1 77 6 78 2 67 7 79 5 170 0 171 0 290 0 174 0 93 13 44 0.0 173 0 291 1 45 0.0 0 VISUALSTYLE 5 2D 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 109 102 } 330 2A 100 AcDbVisualStyle 2 Gouraud 70 2 71 2 72 2 73 1 90 2 40 0.6 41 30.0 62 5 63 7 421 16777215 74 0 91 0 64 7 65 257 75 1 175 1 42 1.0 92 0 66 7 43 1.0 76 1 77 6 78 2 67 7 79 5 170 0 171 0 290 0 174 0 93 13 44 0.0 173 0 291 1 45 0.0 0 VISUALSTYLE 5 2E 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 10B 102 } 330 2A 100 AcDbVisualStyle 2 GouraudWithEdges 70 3 71 2 72 2 73 1 90 2 40 0.6 41 30.0 62 5 63 7 421 16777215 74 1 91 0 64 7 65 257 75 1 175 1 42 1.0 92 0 66 257 43 1.0 76 1 77 6 78 2 67 7 79 5 170 0 171 0 290 0 174 0 93 13 44 0.0 173 0 291 1 45 0.0 0 VISUALSTYLE 5 31 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 111 102 } 330 2A 100 AcDbVisualStyle 2 Hidden 70 6 71 1 72 2 73 2 90 0 40 0.6 41 30.0 62 5 63 7 421 16777215 74 2 91 2 64 7 65 257 75 2 175 1 42 40.0 92 0 66 257 43 1.0 76 1 77 6 78 2 67 7 79 3 170 0 171 0 290 0 174 0 93 1 44 0.0 173 0 291 0 45 0.0 0 VISUALSTYLE 5 3B 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 125 102 } 330 2A 100 AcDbVisualStyle 2 JitterOff 70 20 71 2 72 2 73 0 90 0 40 0.6 41 30.0 62 5 63 7 421 16777215 74 1 91 4 64 7 65 257 75 1 175 1 42 1.0 92 10 66 7 43 1.0 76 1 77 6 78 2 67 7 79 5 170 0 171 0 290 0 174 0 93 1 44 0.0 173 0 291 1 45 0.0 0 VISUALSTYLE 5 38 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 11F 102 } 330 2A 100 AcDbVisualStyle 2 Linepattern 70 14 71 2 72 2 73 0 90 0 40 0.6 41 30.0 62 5 63 7 421 16777215 74 1 91 4 64 7 65 257 75 7 175 7 42 1.0 92 8 66 7 43 1.0 76 1 77 6 78 2 67 7 79 5 170 0 171 0 290 0 174 0 93 1 44 0.0 173 0 291 1 45 0.0 0 VISUALSTYLE 5 3C 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 127 102 } 330 2A 100 AcDbVisualStyle 2 OverhangOff 70 21 71 2 72 2 73 0 90 0 40 0.6 41 30.0 62 5 63 7 421 16777215 74 1 91 4 64 7 65 257 75 1 175 1 42 1.0 92 9 66 7 43 1.0 76 1 77 6 78 2 67 7 79 5 170 0 171 0 290 0 174 0 93 1 44 0.0 173 0 291 1 45 0.0 0 VISUALSTYLE 5 33 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 115 102 } 330 2A 100 AcDbVisualStyle 2 Realistic 70 8 71 2 72 3 73 0 90 2 40 0.6 41 30.0 62 5 63 7 421 16777215 74 0 91 0 64 7 65 257 75 1 175 1 42 1.0 92 8 66 257 43 1.0 76 1 77 6 78 2 67 7 79 3 170 0 171 0 290 0 174 0 93 13 44 0.0 173 0 291 0 45 0.0 0 VISUALSTYLE 5 42 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 133 102 } 330 2A 100 AcDbVisualStyle 2 Shaded 70 27 71 2 72 2 73 1 90 2 40 0.6 41 30.0 62 5 63 7 421 16777215 74 0 91 4 64 7 65 257 75 1 175 1 42 1.0 92 8 66 257 43 1.0 76 1 77 6 78 2 67 8 425 7895160 79 3 170 0 171 0 290 0 174 0 93 5 44 0.0 173 0 291 0 45 0.0 0 VISUALSTYLE 5 41 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 131 102 } 330 2A 100 AcDbVisualStyle 2 Shaded with edges 70 26 71 2 72 2 73 1 90 2 40 0.6 41 30.0 62 5 63 7 421 16777215 74 1 91 10 64 7 65 257 75 2 175 1 42 1.0 92 8 66 257 43 1.0 76 1 77 6 78 2 67 7 79 3 170 0 171 0 290 0 174 0 93 5 44 0.0 173 0 291 0 45 0.0 0 VISUALSTYLE 5 3E 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 12B 102 } 330 2A 100 AcDbVisualStyle 2 Shades of Gray 70 23 71 2 72 2 73 3 90 0 40 0.6 41 30.0 62 5 63 7 421 16777215 74 2 91 2 64 7 65 7 75 1 175 1 42 40.0 92 8 66 7 43 1.0 76 1 77 6 78 2 67 7 79 3 170 0 171 0 290 0 174 0 93 1 44 0.0 173 0 291 0 45 0.0 0 VISUALSTYLE 5 3F 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 12D 102 } 330 2A 100 AcDbVisualStyle 2 Sketchy 70 24 71 1 72 2 73 2 90 0 40 0.6 41 30.0 62 5 63 7 421 16777215 74 2 91 2 64 7 65 7 75 1 175 1 42 40.0 92 11 66 7 43 1.0 76 1 77 6 78 2 67 7 79 6 170 0 171 0 290 0 174 0 93 1 44 0.0 173 0 291 0 45 0.0 0 VISUALSTYLE 5 37 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 11D 102 } 330 2A 100 AcDbVisualStyle 2 Thicken 70 13 71 2 72 2 73 0 90 0 40 0.6 41 30.0 62 5 63 7 421 16777215 74 1 91 4 64 7 65 257 75 1 175 1 42 1.0 92 12 66 7 43 1.0 76 1 77 6 78 2 67 7 79 5 170 0 171 0 290 0 174 0 93 1 44 0.0 173 0 291 1 45 0.0 0 VISUALSTYLE 5 30 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 10F 102 } 330 2A 100 AcDbVisualStyle 2 Wireframe 70 5 71 0 72 2 73 0 90 0 40 0.6 41 30.0 62 5 63 7 421 16777215 74 1 91 4 64 7 65 257 75 1 175 1 42 1.0 92 0 66 257 43 1.0 76 1 77 6 78 2 67 7 79 3 170 0 171 0 290 0 174 0 93 1 44 0.0 173 0 291 0 45 0.0 0 VISUALSTYLE 5 40 102 {ACAD_REACTORS 330 2A 102 } 102 {ACAD_XDICTIONARY 360 12F 102 } 330 2A 100 AcDbVisualStyle 2 X-Ray 70 25 71 2 72 2 73 1 90 1 40 0.5 41 30.0 62 5 63 7 421 16777215 74 1 91 0 64 7 65 257 75 1 175 1 42 1.0 92 8 66 7 43 1.0 76 1 77 6 78 2 67 7 79 3 170 0 171 0 290 0 174 0 93 13 44 0.0 173 0 291 0 45 0.0 0 DICTIONARYVAR 5 103 102 {ACAD_REACTORS 330 102 102 } 330 102 100 DictionaryVariables 280 0 1 1:1 0 DICTIONARYVAR 5 13C 102 {ACAD_REACTORS 330 102 102 } 330 102 100 DictionaryVariables 280 0 1 Standard 0 DICTIONARYVAR 5 13B 102 {ACAD_REACTORS 330 102 102 } 330 102 100 DictionaryVariables 280 0 1 Standard 0 DICTIONARYVAR 5 13F 102 {ACAD_REACTORS 330 102 102 } 330 102 100 DictionaryVariables 280 0 1 0 0 DICTIONARYVAR 5 104 102 {ACAD_REACTORS 330 102 102 } 330 102 100 DictionaryVariables 280 0 1 2 0 DICTIONARY 5 138 330 6C 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 139 0 DICTIONARY 5 135 330 6A 100 AcDbDictionary 280 1 281 1 3 ACAD_ROUNDTRIP_2008_TABLESTYLE_CELLSTYLEMAP 360 136 0 DICTIONARY 5 10D 330 2F 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 10E 0 DICTIONARY 5 113 330 32 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 114 0 DICTIONARY 5 11B 330 36 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 11C 0 DICTIONARY 5 123 330 3A 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 124 0 DICTIONARY 5 117 330 34 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 118 0 DICTIONARY 5 119 330 35 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 11A 0 DICTIONARY 5 129 330 3D 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 12A 0 DICTIONARY 5 121 330 39 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 122 0 DICTIONARY 5 105 330 2B 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 106 0 DICTIONARY 5 107 330 2C 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 108 0 DICTIONARY 5 109 330 2D 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 10A 0 DICTIONARY 5 10B 330 2E 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 10C 0 DICTIONARY 5 111 330 31 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 112 0 DICTIONARY 5 125 330 3B 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 126 0 DICTIONARY 5 11F 330 38 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 120 0 DICTIONARY 5 127 330 3C 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 128 0 DICTIONARY 5 115 330 33 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 116 0 DICTIONARY 5 133 330 42 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 134 0 DICTIONARY 5 131 330 41 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 132 0 DICTIONARY 5 12B 330 3E 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 12C 0 DICTIONARY 5 12D 330 3F 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 12E 0 DICTIONARY 5 11D 330 37 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 11E 0 DICTIONARY 5 10F 330 30 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 110 0 DICTIONARY 5 12F 330 40 100 AcDbDictionary 280 1 281 1 3 ACAD_XREC_ROUNDTRIP 360 130 0 XRECORD 5 139 102 {ACAD_REACTORS 330 138 102 } 330 138 100 AcDbXrecord 280 1 102 ACAD_ROUNDTRIP_2010_MLEADER_STYLE 0 CELLSTYLEMAP 5 136 102 {ACAD_REACTORS 330 135 102 } 330 135 100 AcDbCellStyleMap 90 3 300 CELLSTYLE 1 TABLEFORMAT_BEGIN 90 5 170 1 91 0 92 32768 62 257 93 1 300 CONTENTFORMAT 1 CONTENTFORMAT_BEGIN 90 0 91 0 92 4 93 0 300 40 0.0 140 1.0 94 5 62 0 340 11 144 6.0 309 CONTENTFORMAT_END 171 1 301 MARGIN 1 CELLMARGIN_BEGIN 40 1.5 40 1.5 40 1.5 40 1.5 40 1.5 40 1.5 309 CELLMARGIN_END 94 6 95 1 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 95 2 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 95 4 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 95 8 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 95 16 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 95 32 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 309 TABLEFORMAT_END 1 CELLSTYLE_BEGIN 90 1 91 1 300 _TITLE 309 CELLSTYLE_END 300 CELLSTYLE 1 TABLEFORMAT_BEGIN 90 5 170 1 91 0 92 0 62 257 93 1 300 CONTENTFORMAT 1 CONTENTFORMAT_BEGIN 90 0 91 0 92 4 93 0 300 40 0.0 140 1.0 94 5 62 0 340 11 144 4.5 309 CONTENTFORMAT_END 171 1 301 MARGIN 1 CELLMARGIN_BEGIN 40 1.5 40 1.5 40 1.5 40 1.5 40 1.5 40 1.5 309 CELLMARGIN_END 94 6 95 1 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 95 2 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 95 4 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 95 8 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 95 16 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 95 32 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 309 TABLEFORMAT_END 1 CELLSTYLE_BEGIN 90 2 91 1 300 _HEADER 309 CELLSTYLE_END 300 CELLSTYLE 1 TABLEFORMAT_BEGIN 90 5 170 1 91 0 92 0 62 257 93 1 300 CONTENTFORMAT 1 CONTENTFORMAT_BEGIN 90 0 91 0 92 4 93 0 300 40 0.0 140 1.0 94 2 62 0 340 11 144 4.5 309 CONTENTFORMAT_END 171 1 301 MARGIN 1 CELLMARGIN_BEGIN 40 1.5 40 1.5 40 1.5 40 1.5 40 1.5 40 1.5 309 CELLMARGIN_END 94 6 95 1 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 95 2 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 95 4 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 95 8 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 95 16 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 95 32 302 GRIDFORMAT 1 GRIDFORMAT_BEGIN 90 0 91 1 62 0 92 -2 340 14 93 0 40 0.045 309 GRIDFORMAT_END 309 TABLEFORMAT_END 1 CELLSTYLE_BEGIN 90 3 91 2 300 _DATA 309 CELLSTYLE_END 0 XRECORD 5 10E 102 {ACAD_REACTORS 330 10D 102 } 330 10D 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 1 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 0 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 114 102 {ACAD_REACTORS 330 113 102 } 330 113 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 11C 102 {ACAD_REACTORS 330 11B 102 } 330 11B 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 124 102 {ACAD_REACTORS 330 123 102 } 330 123 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 118 102 {ACAD_REACTORS 330 117 102 } 330 117 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 11A 102 {ACAD_REACTORS 330 119 102 } 330 119 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 12A 102 {ACAD_REACTORS 330 129 102 } 330 129 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 0 102 RTVSPropertyOp1 70 0 102 RTVSPropertyOp2 70 0 102 RTVSPropertyOp3 70 0 102 RTVSPropertyOp4 70 0 102 RTVSPropertyOp5 70 0 102 RTVSPropertyOp6 70 0 102 RTVSPropertyOp7 70 0 102 RTVSPropertyOp8 70 0 102 RTVSPropertyOp9 70 0 102 RTVSPropertyOp10 70 0 102 RTVSPropertyOp11 70 0 102 RTVSPropertyOp12 70 0 102 RTVSPropertyOp13 70 0 102 RTVSPropertyOp14 70 2 102 RTVSPropertyOp15 70 0 102 RTVSPropertyOp16 70 0 102 RTVSPropertyOp17 70 0 102 RTVSPropertyOp18 70 0 102 RTVSPropertyOp19 70 0 102 RTVSPropertyOp20 70 0 102 RTVSPropertyOp21 70 0 102 RTVSPropertyOp22 70 0 102 RTVSPropertyOp23 70 0 102 RTVSPropertyOp24 70 0 102 RTVSPropertyOp25 70 0 102 RTVSPropertyOp26 70 0 102 RTVSPropertyOp27 70 0 102 RTVSPropertyOp28 70 0 102 RTVSPropertyOp29 70 0 102 RTVSPropertyOp30 70 0 102 RTVSPropertyOp31 70 0 102 RTVSPropertyOp32 70 0 102 RTVSPropertyOp33 70 0 102 RTVSPropertyOp34 70 0 102 RTVSPropertyOp35 70 0 102 RTVSPropertyOp36 70 0 102 RTVSPropertyOp37 70 0 102 RTVSPropertyOp38 70 0 102 RTVSPropertyOp39 70 0 102 RTVSPropertyOp40 70 0 102 RTVSPropertyOp41 70 0 102 RTVSPropertyOp42 70 0 102 RTVSPropertyOp43 70 0 102 RTVSPropertyOp44 70 0 102 RTVSPropertyOp45 70 0 102 RTVSPropertyOp46 70 0 102 RTVSPropertyOp47 70 0 102 RTVSPropertyOp48 70 0 102 RTVSPropertyOp49 70 0 102 RTVSPropertyOp50 70 0 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 0 102 RTVSPropertyOp54 70 0 102 RTVSPropertyOp55 70 0 102 RTVSPropertyOp56 70 0 102 RTVSPropertyOp57 70 0 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 0 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 0 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 0 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 0 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 0 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 0 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 0 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 0 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 0 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 0 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 0 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 0 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 0 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 0 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 0 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 0 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 0 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 0 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 0 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 0 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 0 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 0 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 0 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 0 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 0 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 0 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 0 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 0 0 XRECORD 5 122 102 {ACAD_REACTORS 330 121 102 } 330 121 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 106 102 {ACAD_REACTORS 330 105 102 } 330 105 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 108 102 {ACAD_REACTORS 330 107 102 } 330 107 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 10A 102 {ACAD_REACTORS 330 109 102 } 330 109 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 10C 102 {ACAD_REACTORS 330 10B 102 } 330 10B 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 112 102 {ACAD_REACTORS 330 111 102 } 330 111 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 126 102 {ACAD_REACTORS 330 125 102 } 330 125 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 0 102 RTVSPropertyOp1 70 0 102 RTVSPropertyOp2 70 0 102 RTVSPropertyOp3 70 0 102 RTVSPropertyOp4 70 0 102 RTVSPropertyOp5 70 0 102 RTVSPropertyOp6 70 0 102 RTVSPropertyOp7 70 0 102 RTVSPropertyOp8 70 0 102 RTVSPropertyOp9 70 0 102 RTVSPropertyOp10 70 0 102 RTVSPropertyOp11 70 0 102 RTVSPropertyOp12 70 0 102 RTVSPropertyOp13 70 0 102 RTVSPropertyOp14 70 2 102 RTVSPropertyOp15 70 0 102 RTVSPropertyOp16 70 0 102 RTVSPropertyOp17 70 0 102 RTVSPropertyOp18 70 0 102 RTVSPropertyOp19 70 0 102 RTVSPropertyOp20 70 0 102 RTVSPropertyOp21 70 0 102 RTVSPropertyOp22 70 0 102 RTVSPropertyOp23 70 0 102 RTVSPropertyOp24 70 0 102 RTVSPropertyOp25 70 0 102 RTVSPropertyOp26 70 0 102 RTVSPropertyOp27 70 0 102 RTVSPropertyOp28 70 0 102 RTVSPropertyOp29 70 0 102 RTVSPropertyOp30 70 0 102 RTVSPropertyOp31 70 0 102 RTVSPropertyOp32 70 0 102 RTVSPropertyOp33 70 0 102 RTVSPropertyOp34 70 0 102 RTVSPropertyOp35 70 0 102 RTVSPropertyOp36 70 0 102 RTVSPropertyOp37 70 0 102 RTVSPropertyOp38 70 0 102 RTVSPropertyOp39 70 0 102 RTVSPropertyOp40 70 0 102 RTVSPropertyOp41 70 0 102 RTVSPropertyOp42 70 0 102 RTVSPropertyOp43 70 0 102 RTVSPropertyOp44 70 0 102 RTVSPropertyOp45 70 0 102 RTVSPropertyOp46 70 0 102 RTVSPropertyOp47 70 0 102 RTVSPropertyOp48 70 0 102 RTVSPropertyOp49 70 0 102 RTVSPropertyOp50 70 0 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 0 102 RTVSPropertyOp54 70 0 102 RTVSPropertyOp55 70 0 102 RTVSPropertyOp56 70 0 102 RTVSPropertyOp57 70 0 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 0 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 0 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 0 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 0 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 0 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 0 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 0 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 0 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 0 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 0 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 0 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 0 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 0 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 0 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 0 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 0 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 0 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 0 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 0 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 0 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 0 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 0 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 0 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 0 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 0 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 0 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 0 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 0 0 XRECORD 5 120 102 {ACAD_REACTORS 330 11F 102 } 330 11F 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 128 102 {ACAD_REACTORS 330 127 102 } 330 127 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 0 102 RTVSPropertyOp1 70 0 102 RTVSPropertyOp2 70 0 102 RTVSPropertyOp3 70 0 102 RTVSPropertyOp4 70 0 102 RTVSPropertyOp5 70 0 102 RTVSPropertyOp6 70 0 102 RTVSPropertyOp7 70 0 102 RTVSPropertyOp8 70 0 102 RTVSPropertyOp9 70 0 102 RTVSPropertyOp10 70 0 102 RTVSPropertyOp11 70 0 102 RTVSPropertyOp12 70 0 102 RTVSPropertyOp13 70 0 102 RTVSPropertyOp14 70 2 102 RTVSPropertyOp15 70 0 102 RTVSPropertyOp16 70 0 102 RTVSPropertyOp17 70 0 102 RTVSPropertyOp18 70 0 102 RTVSPropertyOp19 70 0 102 RTVSPropertyOp20 70 0 102 RTVSPropertyOp21 70 0 102 RTVSPropertyOp22 70 0 102 RTVSPropertyOp23 70 0 102 RTVSPropertyOp24 70 0 102 RTVSPropertyOp25 70 0 102 RTVSPropertyOp26 70 0 102 RTVSPropertyOp27 70 0 102 RTVSPropertyOp28 70 0 102 RTVSPropertyOp29 70 0 102 RTVSPropertyOp30 70 0 102 RTVSPropertyOp31 70 0 102 RTVSPropertyOp32 70 0 102 RTVSPropertyOp33 70 0 102 RTVSPropertyOp34 70 0 102 RTVSPropertyOp35 70 0 102 RTVSPropertyOp36 70 0 102 RTVSPropertyOp37 70 0 102 RTVSPropertyOp38 70 0 102 RTVSPropertyOp39 70 0 102 RTVSPropertyOp40 70 0 102 RTVSPropertyOp41 70 0 102 RTVSPropertyOp42 70 0 102 RTVSPropertyOp43 70 0 102 RTVSPropertyOp44 70 0 102 RTVSPropertyOp45 70 0 102 RTVSPropertyOp46 70 0 102 RTVSPropertyOp47 70 0 102 RTVSPropertyOp48 70 0 102 RTVSPropertyOp49 70 0 102 RTVSPropertyOp50 70 0 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 0 102 RTVSPropertyOp54 70 0 102 RTVSPropertyOp55 70 0 102 RTVSPropertyOp56 70 0 102 RTVSPropertyOp57 70 0 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 0 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 0 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 0 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 0 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 0 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 0 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 0 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 0 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 0 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 0 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 0 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 0 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 0 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 0 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 0 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 0 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 0 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 0 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 0 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 0 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 0 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 0 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 0 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 0 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 0 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 0 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 0 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 0 0 XRECORD 5 116 102 {ACAD_REACTORS 330 115 102 } 330 115 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 134 102 {ACAD_REACTORS 330 133 102 } 330 133 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 132 102 {ACAD_REACTORS 330 131 102 } 330 131 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 12C 102 {ACAD_REACTORS 330 12B 102 } 330 12B 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 12E 102 {ACAD_REACTORS 330 12D 102 } 330 12D 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 11E 102 {ACAD_REACTORS 330 11D 102 } 330 11D 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 110 102 {ACAD_REACTORS 330 10F 102 } 330 10F 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 XRECORD 5 130 102 {ACAD_REACTORS 330 12F 102 } 330 12F 100 AcDbXrecord 280 1 102 RTVSPropertyOp0 70 1 102 RTVSPropertyOp1 70 1 102 RTVSPropertyOp2 70 1 102 RTVSPropertyOp3 70 1 102 RTVSPropertyOp4 70 1 102 RTVSPropertyOp5 70 1 102 RTVSPropertyOp6 70 1 102 RTVSPropertyOp7 70 1 102 RTVSPropertyOp8 70 1 102 RTVSPropertyOp9 70 1 102 RTVSPropertyOp10 70 1 102 RTVSPropertyOp11 70 1 102 RTVSPropertyOp12 70 1 102 RTVSPropertyOp13 70 1 102 RTVSPropertyOp14 70 1 102 RTVSPropertyOp15 70 1 102 RTVSPropertyOp16 70 1 102 RTVSPropertyOp17 70 1 102 RTVSPropertyOp18 70 1 102 RTVSPropertyOp19 70 1 102 RTVSPropertyOp20 70 1 102 RTVSPropertyOp21 70 1 102 RTVSPropertyOp22 70 1 102 RTVSPropertyOp23 70 1 102 RTVSPropertyOp24 70 1 102 RTVSPropertyOp25 70 1 102 RTVSPropertyOp26 70 1 102 RTVSPropertyOp27 70 1 102 RTVSPropertyOp28 70 1 102 RTVSPropertyOp29 70 1 102 RTVSPropertyOp30 70 1 102 RTVSPropertyOp31 70 1 102 RTVSPropertyOp32 70 1 102 RTVSPropertyOp33 70 1 102 RTVSPropertyOp34 70 1 102 RTVSPropertyOp35 70 1 102 RTVSPropertyOp36 70 1 102 RTVSPropertyOp37 70 1 102 RTVSPropertyOp38 70 1 102 RTVSPropertyOp39 70 1 102 RTVSPropertyOp40 70 1 102 RTVSPropertyOp41 70 1 102 RTVSPropertyOp42 70 1 102 RTVSPropertyOp43 70 1 102 RTVSPropertyOp44 70 1 102 RTVSPropertyOp45 70 1 102 RTVSPropertyOp46 70 1 102 RTVSPropertyOp47 70 1 102 RTVSPropertyOp48 70 1 102 RTVSPropertyOp49 70 1 102 RTVSPropertyOp50 70 1 102 RTVSPropertyOp51 70 0 102 RTVSPropertyOp52 70 0 102 RTVSPropertyOp53 70 1 102 RTVSPropertyOp54 70 1 102 RTVSPropertyOp55 70 1 102 RTVSPropertyOp56 70 1 102 RTVSPropertyOp57 70 1 102 RTVSPost2010Prop28 280 0 102 RTVSPost2010PropOp28 70 1 102 RTVSPost2010Prop29 280 1 102 RTVSPost2010PropOp29 70 1 102 RTVSPost2010Prop30 280 1 102 RTVSPost2010PropOp30 70 1 102 RTVSPost2010Prop31 280 0 102 RTVSPost2010PropOp31 70 1 102 RTVSPost2010Prop32 280 0 102 RTVSPost2010PropOp32 70 1 102 RTVSPost2010Prop33 280 0 102 RTVSPost2010PropOp33 70 1 102 RTVSPost2010Prop34 280 0 102 RTVSPost2010PropOp34 70 1 102 RTVSPost2010Prop35 280 0 102 RTVSPost2010PropOp35 70 1 102 RTVSPost2010Prop36 280 0 102 RTVSPost2010PropOp36 70 1 102 RTVSPost2010Prop37 90 50 102 RTVSPost2010PropOp37 70 1 102 RTVSPost2010Prop38 140 0.0 102 RTVSPost2010PropOp38 70 1 102 RTVSPost2010Prop39 140 1.0 102 RTVSPost2010PropOp39 70 1 102 RTVSPost2010Prop40 90 0 102 RTVSPost2010PropOp40 70 1 102 RTVSPost2010Prop41ColorIndex 90 18 102 RTVSPost2010Prop41ColorRGB 90 0 102 RTVSPost2010PropOp41 70 1 102 RTVSPost2010Prop42 90 50 102 RTVSPost2010PropOp42 70 1 102 RTVSPost2010Prop43 90 3 102 RTVSPost2010PropOp43 70 1 102 RTVSPost2010Prop44ColorIndex 90 5 102 RTVSPost2010Prop44ColorRGB 90 255 102 RTVSPost2010PropOp44 70 1 102 RTVSPost2010Prop45 280 0 102 RTVSPost2010PropOp45 70 1 102 RTVSPost2010Prop46 90 50 102 RTVSPost2010PropOp46 70 1 102 RTVSPost2010Prop47 90 50 102 RTVSPost2010PropOp47 70 1 102 RTVSPost2010Prop48 90 50 102 RTVSPost2010PropOp48 70 1 102 RTVSPost2010Prop49 280 0 102 RTVSPost2010PropOp49 70 1 102 RTVSPost2010Prop50 90 50 102 RTVSPost2010PropOp50 70 1 102 RTVSPost2010Prop51ColorIndex 90 256 102 RTVSPost2010Prop51ColorRGB 90 -16777216 102 RTVSPost2010PropOp51 70 0 102 RTVSPost2010Prop52 140 1.0 102 RTVSPost2010PropOp52 70 0 102 RTVSPost2010Prop53 90 2 102 RTVSPost2010PropOp53 70 1 102 RTVSPost2010Prop54 1 strokes_ogs.tif 102 RTVSPost2010PropOp54 70 1 102 RTVSPost2010Prop55 280 0 102 RTVSPost2010PropOp55 70 1 102 RTVSPost2010Prop56 140 1.0 102 RTVSPost2010PropOp56 70 1 102 RTVSPost2010Prop57 140 1.0 102 RTVSPost2010PropOp57 70 1 0 ENDSEC 0 SECTION 2 THUMBNAILIMAGE 90 263208 310 28000000000200000002000001000800000000000000000000000000000000000000000000000000FFFFFF00FF000000FFFF000000FF000000FFFF000000FF00FF00FF000000000080808000C0C0C000FF000000FF7F7F00A5000000A55252007F0000007F3F3F004C0000004C2626002600000026131300FF3F0000FF9F7F 310 00A5290000A56752007F1F00007F4F3F004C1300004C2F26002609000026171300FF7F0000FFBF7F00A5520000A57C52007F3F00007F5F3F004C2600004C39260026130000261C1300FFBF0000FFDF7F00A57C0000A59152007F5F00007F6F3F004C3900004C422600261C000026211300FFFF0000FFFF7F00A5A50000A5A5 310 52007F7F00007F7F3F004C4C00004C4C26002626000026261300BFFF0000DFFF7F007CA5000091A552005F7F00006F7F3F00394C0000424C26001C260000212613007FFF0000BFFF7F0052A500007CA552003F7F00005F7F3F00264C0000394C2600132600001C2613003FFF00009FFF7F0029A5000067A552001F7F00004F 310 7F3F00134C00002F4C2600092600001726130000FF00007FFF7F0000A5000052A55200007F00003F7F3F00004C0000264C2600002600001326130000FF3F007FFF9F0000A5290052A56700007F1F003F7F4F00004C1300264C2F00002609001326170000FF7F007FFFBF0000A5520052A57C00007F3F003F7F5F00004C2600 310 264C39000026130013261C0000FFBF007FFFDF0000A57C0052A59100007F5F003F7F6F00004C3900264C420000261C001326210000FFFF007FFFFF0000A5A50052A5A500007F7F003F7F7F00004C4C00264C4C00002626001326260000BFFF007FDFFF00007CA5005291A500005F7F003F6F7F0000394C0026424C00001C26 310 0013212600007FFF007FBFFF000052A500527CA500003F7F003F5F7F0000264C0026394C0000132600131C2600003FFF007F9FFF000029A5005267A500001F7F003F4F7F0000134C00262F4C0000092600131726000000FF007F7FFF000000A5005252A50000007F003F3F7F0000004C0026264C0000002600131326003F00 310 FF009F7FFF002900A5006752A5001F007F004F3F7F0013004C002F264C0009002600171326007F00FF00BF7FFF005200A5007C52A5003F007F005F3F7F0026004C0039264C00130026001C132600BF00FF00DF7FFF007C00A5009152A5005F007F006F3F7F0039004C0042264C001C00260021132600FF00FF00FF7FFF00A5 310 00A500A552A5007F007F007F3F7F004C004C004C264C002600260026132600FF00BF00FF7FDF00A5007C00A55291007F005F007F3F6F004C0039004C26420026001C0026132100FF007F00FF7FBF00A5005200A5527C007F003F007F3F5F004C0026004C2639002600130026131C00FF003F00FF7F9F00A5002900A5526700 310 7F001F007F3F4F004C0013004C262F002600090026131700000000002D2D2D005B5B5B0089898900B7B7B700B3B3B30000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000001010101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000101000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000101000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000101000000010100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000101000001010101010101000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000001000000010000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000010000010000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000100000100000000000000010000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000001000001000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000010000010000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010100000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000010000010101010101010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010000000000000100000101000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101000000000001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000010000000000010101000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101000000000100000000010000000101000001000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000100000000010000000000010000000100000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000001000000000100000000000101000001000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010100010000000100000000000000010000010000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000100000001000000000000000100000100000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000101000000010000000000000001000001000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010100000100000000000000010000010000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000101000001000000000000000100000100000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000010000000000000001000001000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000100000000000000010000 310 01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101010001000000000001000000000000 310 01000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000001010100000000010100 310 00000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000 310 00000100000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000 310 00000000000001000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000101010101 310 01010101000000000000010000000001000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000101 310 00000000000000010100000000000100000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 310 00010100000000000000000001010000000001000000010000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00010000000100000000000000000000000100000000010000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000100000101000000000000000000000001010000000100000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000001000001000000000000000000000000000100000001000101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000010000010000000000000000000000000001000000010001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000100000100000000000000000000000000010000000101010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000001000001000000000000000000000000000101000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000010000010000000000000000000000000000010000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000001000001010000000000000000000000000001000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000001010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101010101010100000100000100000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000001010101000000010000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000001010100000100000000000000000000010000000100000000000001010101000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000101010101000000000001000001010000000000000000000100000100000000010101010000000101010100000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000010101000000000101010000000000000100000000000000000001000001000001010000000000000000000001010000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000001010000000000000000000101000000000000010000000000000000010000010001010000000000010101010000000101000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010000000000000000000000010100000000000100000000000000000100000101000000000101010100000101010000010100000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000010000000000010000000000000100000101000000010101000000000000000101000001000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000001000000000100000000000001000001000000010100000000000000000000010000 310 01010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000100000000000000000000000000000000010100000000010000000000010000000000010100000000000000000000 310 00010100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101010000000000000000000000000100000001000000000000000000000000000000000001000000000100000000000100000000010100000000000000 310 00000000000001000001000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000101010000000000000000000001000001000000000000000000000000000000000000000100000001010000000001000000000100000000 310 00000000000000000000010000010000000000000000000000000000000000010101000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000101000000000000000000010000010000000000000000000000000000000000000001010000000100000001000000000101 310 00000000000000000000000000000100000100000000000000000000000000000000010100000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000100000000010100000000000000000100000001000000000000000000000000000000000000000100000001000000010000 310 00010100000000000000000000000000000001000001000000000000000000000000000000010100000000010100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010101010101000001010000000000000001000000010000000000000000000000000000000000000001000000010000 310 00010000000100000000000000000000000000000000010000010000000000000000000000000000010100000101010101010100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001010000000000010100000100000000000000000100000100000000000000000000000000000000000000000100 310 00000100000100000001000000000000000000000000000000010100000100000000000000000000000000000100000101000000000001010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000001010000000000000001000001000000000000000001000001010000000000000000000000000000000000 310 00000100000001000001000001010000000000000000000000000000000100000001000000000000000000000000000001000001000000000000000101000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000010000010000000000000000010000000100000000000000000000000000 310 00000000000001000000010001000000010000000000000000000000000000000001000001010000000000000000000000000000010000010000000000000000010100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000100000100000000000000000101000001010000000000000000 310 00000000000000000000000100000100010000000100000000000000000000000000000001000000010000000000000000000000000000000100000100000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010100000000000000000001000001000000000000000000010000000101000000 310 00000000000000000000000000000001000000010100000100000000000000000000000000000000010000000100000000000000000000000000000001000001000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000001010000010000000000000000000001000000 310 01000000000000000000000000000000000000010000000100000001000000000000000000000000000000010000000100000000000000000000000000000000010000010100000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000010000000100000000000000000000 310 01000000010100000000000000000000000000000000000101000001000000010000000000000000000000000000010100000101000000000000000000000000000000000101000001000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000010000000100000000000000 310 00000000000100000001010000000000000000000000000000000000010000000000000100000000000000000000000000000100000001010101010101000000000000000000000000010000010100000000000000000001000001010000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010000000000000000010100000101000000 310 00000000000000000000010000000100000000000000000000000000000000000100000000000100000000000000000000000000000100000001010000000000000101010000000000000000000101000001010000000000000000000100000100000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010000000000000000010100000000 310 01010101010101000000000000000101000000010000000000000000000000000000000001000000000001000000000000000000000000000101000000000000000000000000000101000000000001010101010000000101000000000000000001000001000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000010100 310 00000000000000000000010101000000000000010100000101000000000000000000000000000000010000000000010000000000000000000000000101000000000000000101010100000000010100000101010000000000000000010100000000000000010100000100000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000 310 01000000000000000000000000000000010100000000000001010000010000000000000000000000000000000100000000000100000000000000000000000001000000000001010101000001010100000001010101000000000000000000000001010000000000000001000001000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000 310 00000101000000000001010101010101010000000001000001010101010100000001000000000000000000000000000000010000000001000000000000000000000101000000000101010000000000000001010000000101000000010101010101000000000101000000000000010000010000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 310 01000000000001000000010101000000000000000001010000000101010000000000010000010100000000000000000000000000000100000000010000000000000000000001000000000101000000000000000000000101000000000000010100000000010101000000000100000000000100000001000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 01000000010000000101000000010100000000000000000000000101000000000000000000000000000001010000000000000000000000000001000000010000000000000000000101000000010100000000000000000000000000010100000000010100000000000000000101000000010100000000010000010000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000010000010000000001000000010000000000000000000000000000010000000000010101010000000000000101000000000000000000000000010000000100000000000000000101000000010100000000000000000000000000000001000000010100000000000000000000010100000000010000000100000100 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000100000100000001000000010000000000000000000000000000010100000101010000000101010100000000010100000000000000000000000100000001000000000000000001000000010100000000000000000000000000000000010100000100000000000000000000000001010000000100000001 310 00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000001000001000001000000010000000000000000000000000000000100000101000000000000000001010000000001010000000000000000000001000000010000000000000001000000000100000000000000000000000000000000000001000001000000000000000000000000000001000000 310 01010001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000010000010001000000010000000000000000000000000000000100000001000000000000000000000001010000000101000000000000000000010000000100000000000001000000010100000000000000000000000000000000000000010000010000000000000000000000000001 310 01010000000100010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000100000101000000000101010100000000000000000000000001000001000000000000000000000000000101000000010100000000000000000100000001000000000000010000000100000000000000000000000000000000000000000100000100000000000000000000 310 00010101000000000000010100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000001000001010000000000000000010100000000000000000000010000010000000000000000000000000000000100000001010000000000000001000000010000000000010000000100000000000000000000000000000000000000000001000001000000000000 310 00000000010100000000000000000101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000010000010000000000000000000001010000000000000000010100000100000000000000000000000000000001010000000101000000000000010000000100000000000100000001000000000000000000000000000000000000000000010000010000 310 00000000000000010100000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000100000000000101010101010000000100000000000000000100000101000000000000000000000000000000000001000000010000000000000001000100000000000100000001000000000000000000000000000000000000000000000100 310 00010000000000000000000100000001010101010100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000001000000000101000000000101000001010000000000000001000001000000000000000000000000000000000000000100000001000000000000010001000000000001000001010000000000000000000000000000000000000000 310 00010000000100000000000000000001000001010000000001010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000101000000000000010000000100000000000000010100000100000000000000000000000000000000000000010000000100000000000100010000000001000000010000000000000000000000000000000000 310 00000000000100000001000000000000000001010001010000000000000101000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000100000001000000000000000001000001000000000000000000000000000000000000000101000001010000000001000100000000010000010000000000000000000000000000 310 00000000000000000001000000010000000000000000010000010000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000001000000010000000000000000010000010000000000000000000000000000000000000000010000000100000000010001000000010100000100000000000000000000 310 00000000000000000000000001010000010000000000000000000100000101000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000010000010100000000010101000100000100000000000000000000000000000000000000000001000000010000000100010000000100000100000000000000 310 00000000000000000000000000000000010000010101010101010101000001000000010000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000100000100010101010000010101000000010000000000000000000000000000000000000000000100000100000001000100000001000001000000 310 00000000000000000000000000000000000000010100000000000000000000010101000100000100000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000100000001010000000000000000000000000100000000000000000000000000000000000000000001000000010000010001000001000000 310 01000000000000000000000000000000000000000000010100000000000000000000000000000101000001000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000001000000000000000000000000000000000000010000000000000000000000000000000000000000000100000101000100010000 310 01000001000000000000000000000000000000000000000000010100000000000001010101010100000000000000010100000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000010000000000000101010101010101000000000001000000000000000000000000000000000000000001010000010001 310 00010000010000010000000000000000000000000000000000000000010100000000010101000000000001010100000000000001000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000010100000000010100000000000000000101000000000100000000000000000000000000000000000000000100 310 00010101000001010000010100000000000000000000000000000000000000010100000001010100000000000000000001010100000000010000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000100000000010100000000000000000000010101000000010100000000000000000000000000000000 310 00000100000001010000010100000100000000000000000000000000000000000000010000000001010000000000000000000000000001010000000001000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000001000000010000000000000000000000000000000100000000010000000000000000000000 310 00000000000000010000010100000101000001000000000000000000000000000000000001010000000101000000000000000000000000000000000101000000010000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000001000000010000000000000000000000000000000000010100000001010000000000 310 00000000000000000000000100000001000001010000010000000000000000000000000000000001010000000101000000000000000000000000000000000000000100000101000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101000000010000010100000000000000000000000000000000000001010000000101 310 00000000000000000000000000000000010000000000010000010100000000000000000000000000000101010000000101000000000000000000000000000000000000000001010000010000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010000010000000100000000000000000000000000000000000000000001 310 00000000010100000000000000000000000000000100000000000100000100000000000000000000000000000101000000000101000000000000000000000000000000000101010100000100000001000001000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000100000101010101010101010101000000000000000000 310 00000000010100000001010100000000000000000000000001010000000000000001000000000000000000000000000101000000000100000000000000000000000000000001010101000001010101010000010000010000010000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000001000001010100000000000000000100000000 310 00000000000000000001010000000000010100000000000000000000000100000000000000010000000000000000000000010100000000010100000000000000000000000000000001010000000000000000000000000001000100000100000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010001000000000000000000000000000000 310 01010000000000000000000000000001010000000001000000000000000000000001000000000000000100000000000000000000010000000000010100000000000000000000000000000001000000000000000000000000000000010100000001000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001010000000000000101010101 310 01010000000100000000000000000000000000000101000000000101000000000000000000000100000000000001000000000000000001010000000001010000000000000000000000000000000001010000010101010101010100000000000101000001010000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010101000000000000000000000000000000000000000000000001010000010000000000010101 310 00000000000101000001010000000000000000000000000000000100000000000100000000000000000001000000000001000000000000000001000000000101010000000000000000000000000000000001010000010100000000000001010100000000010000010000000000000000000000000000000000000000000000 310 00000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000010101000000000000000000000000000000000000000000000100000100000000 310 01010000000000000000010100000100000000000000000000000000000000010100000000010100000000000000010000000000010000000000000101000000000101000000000000000000000000000000000000010000010100000000000000000001010000000000000100000000000001010101010101010000000000 310 00000001010100000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000010100000000000000000000000000000000000000000001000000 310 00000001000000000000000000000001000001010000000000000000000000000000000000010000000000010000000000000101000000000100000000000001000000000101000000000000000000000000000000000000000100000100000000000000000000000100000000000001000000000101010000000000000101 310 00000000000001010000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000001010100000001010000000000000101010101010101010000000000 310 01000000000001010000000000000000000000010000000100000000000000000000000000000000000001010000000001000000000000010000000001000000000101000000010100000000000000000000000000000000000000000101000001000000000000000000000000010000000000010000010100000000000000 310 00000001010000000001010000000001000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101010001010100000100000000010101000000000000000101 310 01000000000100000000010000000000000000000000000101000001000000000000000000000000000000000000000001000000000100000000000100000000010000000100000000000001010101010000000000000000000000000000000001000001010000000000000000000000000100000000010000010100000000 310 00010101010000000001010000010000010101010101000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000001000001010000010100000000000000 310 00000000010100000001000000000100000000000000000000000000010000010000000000000000000000000000000000010101010100000000010100000001000000000100000100000000000000000000000001010000000000000000000000000000010000010000000000000000000000000001000000000100010000 310 00000101010100000101010000000101010000000100000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000100000100010000000000 310 01010101010100000000010100010000000101000000000000000000000000000100000100000000000000000000000000000001010000000000000000000001000000010000000001000100000000000000000000000000000101000000000000000000000000000100000100000000000000000000000000010000000001 310 01000000010101000000000000000101000000010100000101000000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000001000001010000 310 00000101000000000000010100000000010001000001000000000000000000000000000001000001000000000000000000000000000001000000000000000000000000000101000100000000010100000000000001010101010101000000000100000000000000000000000101000001000000000000000000000000000100 310 00000101000000010100000000000000000000010100000000000001000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010100000000000000010000 310 01000000010100000000000000000001010000000001010000010100000000000000000000000000010000000100000000000000000000000001000000000101010101010000000000000101010000010100000000000101010000000000010101000000010000000000000000000001000000010000000000000000000000 310 00000100000001000000010100000000000000000000000001010000000000010000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000 310 00010000000000010100000000000000000000000001010000000100000001000000000000000000000000000100000001000000000000000000000001000000010101000000000101010000000001000100000100000000000101000000000000000000010100000101000000000000000000010000000100000000000000 310 00000000000001000000000000010100000000000000000000000000000101000000000100000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000 310 00000000000100000000010100000000000000000000000000000101000000000000010000000000000000000000000001000000010000000000000000000001010000010100000000000000000101010000000101000000000000000101000000000000000000000001010000010000000000000000000100000001000000 310 00000000000000000000010000000000010100000000000000000000000000000000010100000001000000000000000001000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 310 01000000000000000001000000010100000000000000000000000000000000000100000000000100000000000000000000000000010000000100000000000000000000010000010100000000000000000000000101000000000000000000000101000000000000000000000000000100000100000000000000000001000000 310 01000000000000000000000000010100000000000100000000000000000000000000000000000001000000010000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00010000010000000000000000010000000100000000000000000000000000000000000000010000000001000000000000000000000000000100000101000000000001010101010100000100000000000000000000000000010100000000000000000001000000000000000000000000000001000000010101000000000000 310 01000000010000000000000000000000000100000000000100000000000000000000000000000000000000010100000100000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000100000100000000000000000100000101000000000000000000000000000000000000000101000000000100000000000000000000000001000001000000000101000000000100000001000000000000000000000000000001000000000000000001000000000000000000000000000000010000000100010101 310 01000000010000000100000000000000000000000001000000000100000000000000000000000000000000000000000001000001000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000001000001000000000000000001000001000000000000000000000000000000000000000000010000000001000000000000000000000000010000010000010100000000000000000000010100000000000000000000000000000100000000000000010000000000000000000000000001010000000000 310 00000000010101000100000001000000000000000000000001010000000101000000000000000000000000000000000000000000010000010000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000010000000100000000000001000000010000000000000000000000000000000000000000000001000000000100000000000000000000000100000100010100000000000000000000000001000000000000000000000000000001000000000000010000000000000000000000000001010000 310 00000000000000000000010101000000010000000000000000000000010000000001000000000000000000000000000000000000000000000100000001000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000100000001000000000000010000010000000000000000000000000000000101010000000000000100000001000000000000000000000001000001010100000001010101010101010000000100000000000000000000000000010100000000000100000000000000000000000000 310 01000000010101010101010100000001000100000100000000000000000000010100000001000000000001010101010000000000000000000000000001010000010000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000001000000010000000000000100000101010101010000000000000001010100000101010100000001000000000100000000000000000000010000010100000001010000000000000101000001010000000000000000000000000001000000000001000000000000000000 310 00000001010000010100000000000001010000000101000001000000000000000000000100000001000000010101010000000001010100000000000101010101010100000101000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000010000000100000000000100000000000000000001010000000101000000000000000000010100000100000001000000000000000000000100000101000001010000000000000000010100000100000000000000000000000000010000000001010000000000 310 00000000000000010000010100000000000000000101000001010000010000000000000000000001000000010001010100000000000000000001010000000101000000000000000000010000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000100000001000000000001000000000000000000000101000101000000000101010000000000010100010000010000000000000000000001000000000001010000000000000000000001000001010000000000000000000000000101000000010000 310 00000000000000000000000100000100000000000000000000010100000000000100000000000000000001000000010001010000000000000000000000000001000101000000000000000000000100000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000010101010100000000010000010000000001000000000001010101000000010101000001010101000101010100000001010100000001000000000000000000010000000000010000000000000000000000010100000100000000000000000000000000010000 310 00010000000000000000000000000100000101000000000000000000000001000000000001000000000000000000010000000101000000000001010101010101010000000101000000010101010000000000010000000001000001000000000101010101000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000001010100000001010100000100000100000000010000000101010000010100000101000001010000000000000001010100000001010000000100000000000000010000000000010100000000000000000000000001000001000000000000000000000000 310 00010000000100000000000000000000000001000001000000000000000000000000010100000000010000000000000000010000000101000000000101000000000000000101000000010000010100000101010000000100000000010000010000010100000000000101000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000101000000000000000001010101000001000000010100000101000000000001010000000001010000000000000000000001010000000000000001000000000000000100000000000100000000000000000000000000010000010000000000000000 310 00000000000100000001000000000000000000000000010000010000000000000000000000000001000000000100000000000000000100000001000000010100000000000000000000010100000000010100000000000101000000010000000100000101010000000000000000000101000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000001000000000101010000000001010000010000000100000101000000000000000100000000010000000000000000000000000101000000000000010000000000000001000000000001000000000000000000000000000100000100000000 310 00000000000000000001000000010000000000000000000000000100000100000000000000000000000000010000000001000000000000000100000000000000010100000000000000000000000001000000000100000000000000010100000100000001000001010000000000010000000000010000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000001000000010101000001010000000000000100000100000001000000000000000001000000010000000000000000000000000000000100000000000001000000000000010000000000010000000000000000000000000001000001 310 00000000000000000000000000010000000100000000000000000000000001000001000000000000000000000000000101000000010000000000000001000000000000010100000000000000000000000000000100000001000000000000000001000000010000010000010000000101010101010100000001000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000001010000010100000000000101000000000001000001000001010000000000000000010000010100000000000000000000000000000000010000000000010000000000000100000000010000000000000000000000000000 310 01000001000000000000000000000000000100000001000000000000000000000000010000010000000000000000000000000000010000000100000000000001010000000000010100000000000000000000000000000001000000010000000000000000000100000101000100000000000101000000000001010000010100 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000010100000000010001000000010000000000000000000100000100000000000000000000000000000000000001000000000001000000000001000000000100000000000000000000 310 00000000010000010000000000000000000000000001000000010000000000000000000000000100000100000000000000000000000000000100000001000000000000010000000000010100000000000000000000000000000000000100000100000000000000000001000000010001000000000101000000000000000100 310 00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000001010000000100010000010000000000000000000101000001000000000000000000000001010101010101010100000000010000000000010000000001000000000000 310 00000000000000010000000100000000000000000000010101010000000101000000000000000000000001000001010000000000000000000000000001000000000100000000010100000000010101010101010101000000000000000000000001000001010000000000000000000100000001010000000101000000000000 310 00000101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000001000000000000000000000100000001010000000100000000000000000001000000010000000000000000000001010000000000000101000000000001000000000100000000010000 310 00000000000000000000000100000001000000000000010101010000000000000000000101010101000000000000010000000100000000000000000000000000010000000001000000000100000000000101000000000000010100000000000000000000010000000100000000000000000001000000010100000001000000 310 00000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000001000000010000000100000000000000000001000000010000000000000000000001010000000000000000000000000000010000000001000000 310 00010000000000000000000000000001000001000000000001010100000000000000000000000000000000010101000000000001000001000000000000000000000000000100000000010000000001000000000000000000000000000001010000000000000000000001000001010000000000000000000100000001000000 310 01000000000000000000000100000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000010000000100000100000000000000000001010000010100000000000000000000010000010101010101000000000000000101000000 310 01000000010000000000000000000000000001010000010000000101000000000000000000000000000000000000000000000101000000010000010000000000000000000000000000010000000100000001000000000000000001010101010100000101000000000000000000010100000101000000000000000000010000 310 00000000010000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000100000000000001000000000000000001000000010100000000000000000000010000010100000000010101000000000000 310 01000000010000000100000000000000000000000000010000000100010100000000000001010101010101010101010101000000000000000101000100000100000000000000000000000000000100000001000000010000000000000101010000000001010000010000000000000000000001000000010100000000000000 310 00010000000000000100000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000001000000000001000000000000000101000000010100000000000000000000000100000100000000000000010100 310 00000000010000000100000001000000000000000000000000000100000101010000000000010101000000000000000000000000000101010000000000000101010000010000000000000000000000000001000000010000010000000000010101000000000000000100000100000000000000000000000100000000010100 310 00000000000001000000000001000000000000000000000101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000010000000000010000000000000101000000010100000000000000000000000001000001000000000000 310 00000001000000000001000001000000010000000000000000000000000100000001010000000001010000000000000000000000000000000000000001010100000000010100000100000000000000000000000000010000000100000100000000010100000000000000000001000001000000000000000000000000010000 310 00000101000000000000010000000000010000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000100000000010000000000000100000000010000000000000000000000000000010100000100 310 00000000000000000101000000010000010000000100000000000000000000000001000000000000000101000000000000000000000000000000000000000000000001010000000001000000010000000000000000000000000100000001000100000000010100000000000000000001010001010000000000000000000000 310 00000100000000010100000000000001000000000100000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000001000000000100000000000100000000010000000000000000000000000000000001 310 00000100000000000000000000010100000001000100000001000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000001010000000000000100000000000000000000000001000000010001000000010100000000000000000000010000010000000000000000 310 00000000000000010100000000010000000000010000000001000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000010000000100000000000100000001010000000000000000000000000000 310 00000001000001010000000000000000000001010000010001000000010000000000000000000000010000000000010100000000000000000000000000000000000000000000000000000000000101000000000001000000000000000000000000010000000101000000010100000000000000000000010000000100000000 310 00000000000000000000000000010000000001010000000001000000010000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000010100000001000000010100000001000000000000000000000000 310 00000000000000010100000101000000000000000000000100000001010000000100000000000000000000010100000000010100000000000000000000000000000000000000000000000000000000000000010100000000000100000000000000000000000100000100010000000100000000000000000001010100000100 310 00000000000000000000000000000000000001000000000101000000010000000001000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000100000001000000010000000101000000000000000000 310 00000000000000000000000001010000010100000000000000000000010000010100000001000000000000000000000100000000010100000000000000000000000000000000000000000000000000000000000000000000010100000000010000000000000000000001000001010000000100000000000000000001010000 310 00010100000000000000000000000000000000000000000101000000000100000001000000010000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000001000001000000010000000101000000000000 310 00000000000000000000000000000000000100000001010100000000000000000101000101010000010000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000010000010100000101000000000000000001 310 00000000010100000000000000000000000000000000000000000000000100000000010000010000000100000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000001000000010000010000000100000000 310 00000000000000000000000000000000000000000000010100000001010000000000000000010000010100000100000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000100000001010000000000000000000100000101000001000000000000 310 00010100000000010100000000000000000000000000000000000000000000000000010100000001010001000001000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000010000000100010000000101 310 00000000000000000000000000000000000000000000000000000001010000000101010000000000000101000101000000010000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000001010000000100000000000000000001000001000001010000 310 00000000010100000000010100000000000000000000000000000000000000000000000000000001010000000101010000000100000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000100000100010000 310 00010000000000000000000000000000000000000000000000000000000000000101000000000101000000000000010000010000000100000000000000010000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000001010000010000 310 01000000000001010000000001010101010100000000000000000000000000000000000000000000000000000101000000010101000001000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101010101010100000001000001000000000000000100000001 310 01000000010000000000000000000000000000000000000000000101010101010101010101010100000000010101000000000101000000000001000000000000010100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000010000 310 00000000010000000001000000000000000000000000010101010101000000000000000000000000000000000000000000010100000001010000010000000000000000010000010000000101010101010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000010100010000010000000000000001 310 00000001000001010000000000000000000000000000000000000000010101000000000000000000000000000000000000000101000000010000000000010000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000001000000000000 310 00010000000000010000000001000000000000000000000000000000000000000101010000000000000000000000000000000000000001010000000100000001000000000000010100000100000101000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010100000001000000 310 00000001000000000000010101010000000000000000000000000000000101010000000000000000000000000000000000000000000000010100000101000000000100000000000101000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100 310 00000000000100000000000100000101000000000000000000000000000000000000000000000001010000000000000000000000000000000001010100000000000000010000000000000100000100010100000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000101010101010000000001010000 310 01000000000001000000000000000000000101000000000000000000000000010101000000000001010101010101010101010101000000000000000000010100010000000001000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 310 00000100000000000001000000000100010100000000000000000101010101010101010101010100000000000001010000000000000000000000000101010000000000000000000100000000000001000001010100000001010101010000000101000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000101000000000101000000 310 00000000010000000000010000000000000000000000000100000000000000000000010100000000010101000000000000000000000000000101010000000000000001010101000000010000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000001000001010000000000010000000001010000000000000001010101000000000000000000000001010101000000000001010000000000000000000101000000000000000000000000010000000000010000010000000001010000000101000000010100000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000101000000000000 310 00010100000000000100000000000100000000010101010101000000010000000000000001010000000001010100000000000000000000000000000000000001010100000000000000010000000001000000010100000100000000000000000000000000000000000001010101010101010101000000000000000000000000 310 00000000000000010000000100000000010000000001010000000000010101010000000000000000000000000000000000010100000000000101000000000000000001000000010101010100000000000100000000010000000000000101000000000000000100000001000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101000000 310 00000000000001010000000000010000000001000000010100000000010100000101000000000001010000000001000000000000000000000000000000000000000000000000010100000000000000000000010000000100000001000000000000000000000000000000010101000000000000000000010101010000000000 310 00000000000000000000000100000001000000000100000000000000000000010100000000000000000000000000000000000000000000010100000000010100000000000001010000010100000001010000000001000000000100000000000101000000000000000000010000010000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001 310 00000000000000000000000101000000000100000001000000000100000000000001010000010000000001000000000101000000000000000000000000000000000000000000000000000001010000000000000000000100000001000000010000000000000000000000000001010100000000000000000000000000000001 310 01010000000000000000000000000000010000010000000001000000000000000001010000000000000000000000000000000000000000000000000001010000000000010000000000010000010100000000000101000000010000000001000000000001000000000000000000000100000001000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 01000001000000000000000000000000010100000001000000010000000101000000000000000100000100000000010000000100000000000000000000000000000000000000000000000000000000000001010000000000000001010000010000010000000000000000000000000001010000000000010101010101010101 310 01010000000101000000000000000000000000000100000101000001000000000000000001010000000000000000000000000000000000000000000000000000000001010000000001010000000100000100000000000000010000000001000001010000000001000000000000000000000000010000010000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000010000010000000000000000000000000001000000000100000100000001000000000000000001000001000001010000000100000000000000000000000000000000000000000000000000000000000000000101000000000000000100000100000100000000000000000000000001010000000101010000000000 310 00000000000101010000000101000000000000000000000001000000010000010000000000000001000000000000000000000000000000000000000000000000000000000000000101000000000100000101000001000000000000000101000000010000010000000001010000000000000000000000000100000100000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000100000100000000000000000000000000000100000001000001000000010000000000000000010000010000010000010100000000000000000000000000000000000000000000000000000000000000000000010100000000000001000001000001000000000000000000000001000000010101000000 310 00000000000000000000000001010000010100000000000000000000010000000100000100000000000001000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000010000000000000000010000000100000100000000010000000000000000000000000001000001 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000001000001000000000000000000000000000001010000010000010000010100000000000000000100000100010000010100000000000000000000000000000000000000000000000000000000000000000000000001010000000000010100010000010000000000000000000101000000010100 310 00000000000000000000000000000000000101000001010000000000000000000001000001000101000000000001000000000000000000000000000000000000000000000000000000000000000000000000010000000001010000000100000000000000000100000001000001000000010000000000000000000000000000 310 01000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000010000010000000000000000000000000000000100000001000100000100000000000000000001000001000100000100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001010100000100000000000000000001000000 310 01000000000000000000000000000000000000000000010100000101000000000000000000010000010001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000101000000010100000001000000000000000001000000010001000000010100000000000000000000 310 00000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000100000101000000000000000000000000000001010000010001000001000000000000000000010000010100000100000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000010100000001000000000000000001 310 00000101000000000000000000000000000000000000000000000000010000010100000000000000000100000100010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000010100000001000000010000000000000000010000000100010000000100000000000000 310 00000000000000010100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000001000000010000000000000000000000000000000100000101000000010000000000000000000100000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101000001000000000000 310 00000100000001000000000000000000000000000000000000000000000000000101000001010000000000000001000001010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000100000000000000000101000001010000000101000000 310 00000000000000000000000100000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000010100000101000000000000000000000000000001010000010000000100000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010100010000 310 00000000000101000001000000000000000000000000000000000000000000000000000000010100000100000000000000010000010100000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000010000010100000001 310 00000000000000000000000000000101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000001000000010100000000000000000000000000000100000100000001000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000101 310 00000100000000000101000001000000000000000000010101010101010101010000000000000000000001000001010000000000000100000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000010000000000000000000100000101 310 00000100000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000010100000001000000000000000000000000000001000000000000010000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 310 00000000000001000000000001000001010000000000000001010100000000000000000001010000000000000000000100000101000000000001000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000001 310 00000000000001000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000100000000000000000000000000000100000000000100000000000000000001000000000100000000000000000000000000000000000000000000000000000101010100000000000000000000000000 310 00000001000000000000010000000001010000010000000000000001010000000000010101010100000001000000000000000000010000010000000000010000000000000100000000000000000000000000000101010101000000000000000000000000000000000000000000000000000000010000000001000000000000 310 00000001000000000001000000000000000000000000000001000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000010000000000000000000000000001000000000001000000000000000000010000000100000000000000000000000000000000000000000000000101010101000000010101010101000000 310 00000000000000010100000000000100000000010000010000000000000001010000000001010100000001010100000101000000000000000100000101000000000100000000000100000000000000000000010101010100000000010101010100000000000000000000000000000000000000000000000100000000010000 310 00000000000000010000000000010000000000000000000000000001010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000101000000000000000000000000010100000000010000000000000000010000000001000000000000000000000000000000000000000001010101000000000000000000000000 310 01010100000000000000000001000000000001000000010100000100000000000001010000000001010000000000000001000000010000000000000001010000010000000001000000000101000000000000000001010100000000000000000000000000010101000000000000000000000000000000000000000001000000 310 00000100000000000000000100000000010000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000101000000000000000000000001000000000100000000000000000100000000010000000000000000000000000000000000000101010000000000010101010101 310 00000000000000010100000000000000010000000000010000000100000100000000000000010000000001010000000000000000000100000001000000000000000100000100000000010000000001000000000000000101000000000000010101010101010000000000000101000000000000000000000000000000000000 310 00010000000001000000000000000101000000000100000000000000000000000101000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000100000000000000000000000100000001000000000000000001000000010000000000000000000000000000000000010101000000010101010000 310 00000001010100000000000000010100000000000001000000000100000001000001000000000000010000000000010000000000000000000001010000010100000000000001010000010000000100000001000000000000010100000000010101010100000000000101010100000000000101000000000000000000000000 310 00000000000100000000010000000000000001000000000100000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010101010100000000010000000000000000000001000000010000000000000000010000000100000000000000000000000000000000010100000001010100 310 00000000000000000001000000000000000001010100000000010000000001000001010001000000000000010100000000010100000000000000000000000100000001000000000000000100000100000001000000010000000000010100000001010100000000000000000000000000010101000000010100000000000000 310 00000000000000000001000000000100000000000000010000000001000000000000000000000101000000010101010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000001010000000000000000010100000001000000000000000100000001000000000000000000000000000000010100000001 310 01000000000000000000000000010000000000010100000001010000000001000000010000010000010000000000000100000000000100000000000000000000000001000000010100000000000001010000010001000000010000000001010000000101010101000000000000000000000000000000010101000001010000 310 00000000000000000000000000010000000001000000000000000100000001000000000000000000010100000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000001000000000000000001000000010000000000000001000000010000000000000000000000000000010100 310 00000101000000000000000000000000000001000000000101010000000101000000010000000100000100000100000000000001000000000001000000000000000000000000010000000001000000000000000100000100010000000100000001010000000000000000010101010101000000000000000000000000010100 310 00010100000000000000000000000000000001000000010000000000000100000000010000000000000000010000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000101000000000000010100000100000000000000010000000100000000000000000000000000 310 00010000000100000000000000000000000000000000010000000000010001010000000100000100000000010001000100000000000001010000000001000000000000000000000000000100000000010000000000000001000001000100000001000001010000000000000000000000000000000101010000000000000000 310 00000101000001010000000000000000000000000000010000000100000000000001000000010000000000000001010000000000000000000001010000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000101010101010101010101000000000000000100000000000001000000010000000000000100000001000000000000000000 310 00000000010000000100000000000000000000000000000000000100000000000100000001000000010000010000000101000001000000000000010000000000010000000000000000000000000001000000000001000000000000010000010001000001000001010000000000000000000000000000000000000101000000 310 00000000000000010100000100000000000000000000000000000100000001000000000000010000000100000000000101000000000000000101010101000001010100000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000101000000000000000000000101010000000000010100000000000100000100000000000001000000010000000000 310 00000000000000010100000100000000000000000000000000000000000001000000000001000000000100000001000100000001010000010000000000000100000000000100000000000000000000000000010000000000010000000000000001000101000000010001000000010100000000000001010101010101010000 310 00010100000000000000000001000000010000000000000000000000000001000000010000000000010000000100000000000100000000000001010100000000000000000000010100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000101000000000000000000000000000001010000000000010100000001000000010000000000010000000100 310 00000000000000000000000100000101000000000000000000000000000000000000000100000000010000000000010000000101000000010100000100000000000001000000000001000000000000000000000000000100000000000100000000000000010000010000000101010000010001000000000101010000000000 310 00010101000000010000000000000000000100000101000000000000000000000000010000000100000000000100000001000000010100000000000101000000000000000000000000000001010000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101000000000000000000000000000000000001010100000000010000000100000100000000000100 310 00000100000000000000000000000001000001000000000000000000000000000000000000000000010000000100000000000001000000010100000001000001000000000000010000000000010000000000000000000000000001000000000001000000000000000100010100000101010000010100010100000101000000 310 00000000000000010100000001000000000000000001010000010000000000000000000000000100000001000000000101000001000000010100000001010100000000000000000000000000000000000101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000001010000000001000001000000010000 310 00000100000001000000000000000000000001000001010000000000000000000000000000000000000000000100000000010000000000010100000001000000000000010000000000000100000000000100000000000000000000000000010000000000010000000000000001000000000001010000010100000001010100 310 00000000000000000000000001010000010100000000000000000100000100000000000000000000000001000001000000000001000001010001010000000001000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000001010000000101000100 310 00010000000001000000010000000000000000000000010000010000000000000000000000000000000000000000000001000000000100000000000001010000000000000000010000000000000001000000000100000000000000000000000000000100000000000100000000000000010000000000010000010100000000 310 00000000000000000000000000000000000100000001010000000000000001010001000000000000000000000000010000010000000001000000010101000000000101000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000101000000 310 00010100000001000000010000000100000000000000000000000100000100000000000000000000000000000000000000000000010000000001000000000000000100000000000000000100000000000000010000000001000000000000000000000000000001000000000101000000000000000100000000000000000100 310 00000000000000000000000000000000000000000001010000000100000000000000000100000100000000000000000000010100000100000000010000010101000000000100000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000 310 00010100000000000000010000000001000001000000000000000000000100000100000000000000000000000000000000000000000000000001000000010000000000000000010000000000000001000000000000000100000000010000000000000000000000000001000000000001000000000000000001000000000000 310 00010000000000000000000000000000000000000000000000000100000000010000000000000001000001000000000000000000000100000001000000010000000000000000010100000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010100000000000000000000000000000000000000 310 00000000000000010100000000000001000000010000010000000000000000000001000001000000000000000000000000000000000000000000000000010000000001000000000000000001000000000000010000000000000001000000000100000000000000000000000000010000000000010000000000000000010000 310 00000000010100000000000000000000000000000000000000000000000001000000000100000000000000000100010000000000000000000001000000010000010100000000000001010000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000001010000000000000000000000000000 310 00000000000000000000000001010000000000000100000100000001000000000000000000010000010000000000000000000000000000000000000000000000000001000000010000000000000000010100000000000100000000000000010000000001010000000000000000000001010000000000010100000000000000 310 00010000000000000100000000000000000000000000000000000000000000000000010100000001000000000000000001000100000000000000000000010000010100010100000000000101000000000000000000000000000000000000000000000000000000010100000100000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000101000000000000000000 310 00000000000000000000000000000000000101000000000000010000010000010000000000000000000100000100000000000000000000000000000000000000000000000000010000000100000000000000000001000000000001000000000000000100000000000101010000000000000001010000000000000100000000 310 00000000000100000000000100000000000000000000000000000000000000000000000000000001000000010100000000000000010000010000000000000000010100000100000100000000000101000000000000000000000000000000000000000000000000000000010100000101000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000010101000000 310 00000000000000010101010101010101010101010000000101000000000100000100000101000000000000000001000101000000000000000000000000000000000000000000000000000100000001000000000000000000010000000000000100000000000001000000000000000101010101010101010000000000000101 310 00000000000000000001000000000001000000000000000000000000000000000000000000000000000000010000000001000000000000000100000100000000000000000100000001000100000000000101000000000101010101010101010101010100000000000000000001010000000101000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000 310 00010101010101010101010000000000000000000000000001010101010100000000010101000000010000000000000000010001000000000000000000000000000000000000000000000000000001000000000100000000000000000001000000000001000000000000010100000000010000000000000000000000000000 310 00010100000000000000000000010000000001000000000000000000000000000000000000000000000000000000000100000000010000000000000001000001000000000000000001000001010101000000000100010101010100000000000000000000000000010101010101010101000000000101000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 01010000000000000000000000000000000000000000000000000000000000010101010000000001010000000001000000000000010000010000000000000000000000000000000000000000000000000000000100000001000000000000000000010000000000010000000000000001000000000101010000000000000000 310 00000000010100000000000000000000000100000000010000000000000000000000000000000000000000000000000000000100000000000100000000000000010000010000000000000001000000010101000000010101010000000000000000000000000000000000000000000000000000000000000101000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000101010000000000000000000000000000010100000000000000000000000000000101000000000101000000010000000000000100000100000000000000000000000000000000000000000000000000000001000000010000000000000000000001000000000100000000000000010000000001000101010000 310 00000000000000010100000000000000000000000001000000010000000000000000000000000000000000000000000000000000000001000000000001000000000000000100000100000000000000010000000101000000000100000000000000000000000000000101000000000000000000000000000001010100000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000101010101010101010101010101010100000000000000000000000000000000000000000000010100000101000000000001000001000000000000000000000000000000000000000000000000000000000100000100000000000000000000010000000001000000000000000100000000010000 310 00010101010101010101010000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000010000000000010000000000000001000001000000000000010000000101000000000000000000000000000000000000000000010101010101010101010101010101010000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000010000000000010000010000000000000000000000000000000000000000000000000000000001000000010000000000000000000101000000010000000000000000010000 310 00000100000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000010100000000000100000000000000010000010000000000000100000000000000000000000000000000000000000000000000000000000101010000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000010100000000000000010101010101010101010101000000000000000000000000000001000000000001000100000000000000000000000000000000000000000000000000000000000100000100000000000000000000010000000001000000000000 310 00010000000001000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000100000000000100000000000000000100000100000000000100000000000000000000000000000101010101010101010101010000000000000001010000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000001010000000000000101010100000000000000000000010101010000000000000000000000010000000000010001000000000000000000000000000000000000000000000000000000000001000000010000000000000000000100000000010000 310 00000000000100000000010000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000101000000000001000000000000000001000001000000000101000000000000000000000101010100000000000000000000000101010100000000 310 00010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000001010000000001010100000000000000000000000000000000000001010000000000000000000001000000000100000100000000000000000000000000000000000000000000000000000000010000000100000000000000000000010000 310 00010000000000000000010000000001000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000101000000000001010000000000000000010000010000000001000000000000000000010101000000000000000000000000000000000000 310 01010100000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000101000000000101000000000000000000000000000000000000000000000001010000000000000000010000000001000001000000000000000000000000000000000000000000000000000000000001000001000000000000000000 310 00010000000101000000000000000101000000010000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000101000000000000010000000000000000000100000100000001000000000000000001010000000000000000000000000000000000 310 00000000000000010100000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000101000000010101000000000000000000000000000000000000000000000000000001010000000000000001000000010000010000000000000000000000000000000000000000000000000000000000010000000100000000 310 00000000000100000000010000000000000000010000000101000000000000000000000000000000000000000000010100000000010000000000000000000000010101010000000000000000010101000000000000010100000000000000000001000001000001010000000000000101010000000000000000000000000000 310 00000000000000000000000001010000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000010100000000000000000000000000000000000000000000000000000000000001000000000000000100000100000100000000000000000000000000000000000000000000000000000000000001000001 310 00000000000000000001000000000101000000000000000101000000010000000000000000000000000000000000000000000100000000010000000000000000000000000100000001010101010101010100000000000000010100000000000000000001000001000000010000000000000100000000000000000000000000 310 00000000000000000000000000000000000001010000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010000000000000000000000000000000000000000000000000000000000000000000101000000000000010000010001010000000000000000000000000000000000000000000000000000000000 310 01000001000000000000000000010000000000010000000000000000010000000001000000000000000000000000000000000000000101000000000100000000000000000000000001000000000000000000000000000000000000010100000000000000000000010000010001010000000000010100000000000000000000 310 00000000000000000000000000000000000000000000000101000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010000000000000000000000000000000000000000000000000000000000000000000000000100000000000101000100000100000000000000000000000000000000000000000000000000 310 00000000000100010000000000000000000100000000000101000000000000000101000000010100000000000000000000000000000000000001000000000001000000000000000000000000010100010101000000000000000000000001010100000000000000000000000100000100010000000000010000000000000000 310 00000000000000000000000000000000000000000000000000000000010100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010000000000000000000000000000000000000000000000000000000000000000000000000000010100000000010101000001000000000000000000000000000000000000000000 310 00000000000000000001000001000000000000000001000000000000010000000000000000010100000001000000000000000000000000000000000001000000000000010000000000000000000000000001000100010101010100000000010101010000000000000000000000000100000100010000000000010100000000 310 00000000000000000000000000000000000000000000000000000000000000000001010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000001000100000100000000000000000000000000000000 310 00000000000000000000000000000100010000000000000000010000000000000001000000000000000001010000000100000000000000000000000000000001000000000000000100000000000000000000000000010000010000000001010101010100000000000000000000000000000001000001010100000001010000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000101000001000000000000000000000000 310 00000000000000000000000000000000000001000001000000000000000100000000000000010100000000000000000101000000010000000000000000000000000000010000000000000001000000000000000000000000000100000100000000000000000000000000000000000000000000000000010000010100000000 310 01000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101960101010000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010100000000000000000000000000000000000000000000000000000101010101010101010101010101010000010100000000010000010100000000000000 310 00000000000001010000000000000000000000000000000100010000000000000001000000000000000001010000000000000000010100000001010000000000000000000000010000000000000000010000000000000000000000000001000001000000000000000000000000000000000000000000000000010000010100 310 00000001000001010101010101010101010101010100000000000000000000000000000000000000000000000000000001000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101010101010000009600000001010101010101010000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000100000000000000000000000000000000000000000000000001010100000000000000000000000000000001010101010000000000000001000000 310 00000000000000000000010100000000000000000000000000000001000001000000000000010000000000000100000101000000000000000001010000000101000000000000000001010000000100000000000100000000000000000000000000010000010000000000000000000000000000000000000000000000010100 310 00000000000101010101010000000000000000000000000000010101000000000000000000000000000000000000000000000000010100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010101000000000000000000000096000000000000000000000001010101010000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101000000000000000000000000000000000000000000010101000000000000000000010101010000000000000000000101000000000000 310 01010000000000000000000000000101010000000000000000000000000000000100010000000000000100000100000001010000010100000000000000000101000000010101000000000000010000000101000000010001000000000000000000000000000001000100000000000000000000000000000000000000000000 310 00010000000000000101010000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000960000000000000000000000000000000001 310 01010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000001000000000000000000000000000000000000000000010100000000000101010101010000010101010000000000000000000000 310 00000000000100000000000000000000000001000100000000000000000000000000000001000001000000000001000001000000010101000001010000000000000000000101000000010101000000010000000101000000010100010100000000000000000000000000010001000000000000000000000000000000000000 310 00000000000100000000000000000000000000000101010100000000010101010101000000000101000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000009600000000000000000000000000 310 00000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010000000000000000000000000000000000000001010000000001010101000000000001010000000000000000000000 310 00000000000000000000010000000000000000000000010001000000000000000000000000000000000100010000000001010000010100000001010100000101010000000000000000010101000000000101010000000101010000000101000001000000000000000000000000000100010100000000000000000000000000 310 00000000000000000100000000000000000000000101010100000001000000000100000000010101000000000101000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000096000000000000000000 310 00000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000001010000000101010000000000000101000000000000000101 310 01010000000000000000000000000101000000000000000000000100010000000000000000000000000000000001000100000000010000010001000000010001010000000101010000000000000000010101000000000000010100000100000001010000010000000000000000000000000001000001000000000000000000 310 00000000000000000000000100000000000000000001010100000000000000010000000100000000000000000101000000010100000000000000000000000000000000000000010100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000960000000000 310 00000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010100000000000000000000000000000000000000010000000101000000000000000101000000000001 310 01010000000101010000000000000000000000010000000000000000000001000101000000000000000000000000000000000100010000000100000100010000000100000001010000000101010100000000000001010100000000010100000101000000010001000101000000000000000000000000010000010000000000 310 00000000000000000000000000000100000000000000000101000000000000000000000100000001000000000000000000010101000001010000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000009600 310 00000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000001010000010100000000000000000101000000 310 00000101000000000000000101000000010100000000000001000000000000000000010000010100000000000000000000000000000001000100000100000001000100000001000000000101000000000000010101010101000000000001010100000001000000010000010000010000000000000000000000000101000100 310 00000000000000000000000000000000000001000000000000010100000000000000000000000001000000010000000000000000000000010100000101000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 310 00009600000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000010000010100000000000000000001 310 00000000000100000000000000000000010100000101010000000000010100000000000000000100000001010101010101010101010101010101010001000001000001000000010000000100000000000101010000000000000000000000000101010000000000010000000100000100000101000000000000000000000000 310 01000101010101010101010101010101010101000001000000000001010000000000000000000000000000010000000100000000000000000000000000010000010100000000000000000000000000000000000101000001000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 310 00000000000096000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000010000000100000000000000 310 00000100000000000101000000000000000000000001000000010101010000000001010000000000000001000000000000000000000000000000000000000000000101000000010000000100000001000000000000000101010101010100010101010101000000000000000100000001000000010000010000000000000000 310 00000000010000000000000000000000000000000000010101000000000001000000000000000000000000000000010100000001000000000000000000000000000101000001000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000 310 00000000000000000000960000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010100000000000000000000000000000000000100000100000000 310 00000000000001000000000001000000000000000000000000010100000001000101000000000101000000000000010000000000000000000000000000000000000000000000010000010000000001000000010000000000000000000000000000010000000000000000000000000001000001000000000101000101000000 310 00000000000000000100000000000000000000000000000000000001000000000001000000000000000000000000000000000100000000000100000000000000000000000000000100000100000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000100000100 310 00000000000000000000010000000001010000000000000000000000000001000000010000010100000000010100000000000100000000000000000000000000000000000000000000000000000100000000010000000101000000000000000000000000000000000000000000000000000001000000010000000000010000 310 01010000000000000000000001000000000000000000000000000000000000000000000101010101010101010101010101010101010101000000000001010101010100000000000000000001000001010000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 310 00000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000001 310 00000100000000000000000000000100000000010000000000000000000000000000010000000001000001010000000001010000000001000000000000000000000000000000000000000000000000000100000000000001000000010000000000000000000000000000000000000000000000000000010000000100000000 310 00010100000100000000000000000000000100000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000001000000000000000000000100000100000000000000000000000000000000010000010000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 310 00000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000 310 00000100000100000000000000000000000001000000000100000000000000000000000000000100000000010000000101000000000101000000010101010101010101010101010101010101010101010100000100000000000000010000000100000000000000000000000000000000000000000000000000000100000001 310 00000000000001000001010000000000000000000001010101010101010101010101010100000000000001000001000000000000000000000000000000000000000000000000000000010000000000000000000001000001000000000000000000000000000000000100000100000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 310 00000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000 310 00000000000001000001000000000000000000000000010000000001000000000000000000000000000001000000000100000000000100000000000101000000000000000000000000000000000000000000010100000101000000000000000100000001000000000000000000000000000000000000000000000000000100 310 00000001000000000000000100000101010000000000000000000000000000000000000000010100000000000001000000010000000000000000000000000000000000000000000000000000000100000000000000000000010100000100000000000000000000000000000001000001000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000010101 310 01010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000 310 00000000000000000000010001000000000000000000000000000100000000010000000000000000000000000000010000000001000000000000010000000000000101000000000000000000000000000000000000010100000101000000000000000001000000010000010101010101010101010101010101010101010101 310 01010100000001000000000000000000010100000101000000000000000000000000000000000001010100000000000001000000000001000000010101010101000000000000000000000000000000000001000000000000000000000001000001000000000000000000000000000000010000010000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001 310 01000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000101000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000 310 00000000000000000000000000010100010000000000000000000000000001000000000100000000000000000000000000000100000000010000000000000101000000000000000101010000000000000000000000000101010000000101000000000000000000000100000001010000000000000000000000000000000000 310 00000000000101000000010000000000000000000001010000010101000000000000000000000000000101010000000000000001010000000000010000000100000000010101010101010100000000010101010101010000000000000000000000010000010000000000000000000000000000000100000100000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 310 00010100000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000101000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 310 00010000000000000000000000000000000100000100000000000000000000000000010000000001000000000000000000000000000001000000000100000000000000010000000000000000000101010101010000010101010101000000000101000000000000000000010101000000000000000000000000000000000000 310 00000000000000000000000000000101000000000000000000000101000000010101010101010101010101010100000000000000000000010000000000000001000001000000000000000000000000010000000100000000000000000000000000000000000100000100000000000000000000000000000001000001000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 01000000010000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000100000001000000000000000000000000000001000001000000000000000000000000000101000000010000000000000000000000000001000000000001000000000000000001000000000000000000000000000001010000000000000000010100000000000000000001010100000000000000000000000000000000 310 00000000000000000000000000000000000000000101010000000000000000000101000000000000000000000000000000000000000000000000010000000000000000000100000100000000000000000000000100000001000000000000000000000000000000000001000001000000000000000000000000000000010000 310 01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000001000001010000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000010000010000000000000000000000000000010000010000000000000000000000000000010000000101000000000000000000000001000000000000010000000000000000010100000000000101010100000000000000000000000101010000000000000000000101000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000101010000000000000000000101000000000000000000000000010101000000000000010000000000000000000001000001000000000000000000000001000000010000000000000000000000000000000000010000010000000000000000000000000000 310 01010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000100000100000000000000000000000000000100000100000000000000000000000000000101000000010000000000000000000001010000000000010100000000000000000001000000000000010101010101010101010101010101000000000000000000010100000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000001010000000000000000000101010101010101010101010101000000000000000100000000000000000000000100000100000000000000000000010000000100000000000000000000000000000000000100000100000000000000000000 310 00000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000001000001000000000000000000000000000001000001000000000000000000000000000000010000000001000000000000000001010000000000000100000000000000000000010100000000000001010000000000000000000000000000000000000001010000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000001000000000000000100000000000000000000000000010000010000000000000000000100000001000000000000000000000000000000000001000001000000000000 310 00000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000010000000100000000000000000000000000010000010000000000000000000000000000000001000000010100000000000101010000000000000101000000000000000000000001000001000000000101000000000000000000000000000000000101000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000001000000000001000001000000000000000000000000000100000100000000000000000001000000010000000000000000000000000000000000010000010000 310 00000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000100000001000000000000000000000000000100000100000000000000000000000000000000010100000001010000000101000000000000000101000000000000000000000000010000010100000000010100000000000000000000000000010100000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000001000000000101010001010000000000000000000000000000010000010000000000000000010000000100000000000000000000000000000000000100 310 00010000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000010000010100000000000000000000000001000001000000000000000000000000000000000000010100000101010100000000000000000101000000000000000000000000000101000101010000000001010000000000000000000000010100000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000001000000000101010100010000000000000000000000000000000001000001000000000000000100000001000000000000000000000000000000 310 00000100000100000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000100010000000000000000000000000000000000000001010000000000000000000000000101000000000000000000000000000000010001010001000000000101000000000000000001010000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000001000000000101000100000100000000000000000000000000000000010000000100000000000001000000010000000000000000000000 310 00000000000001000001000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000001000001000000000000000000000000000000000000000101000000000000000000000101000000000000000000000000000000000100000100000100000000010100000000000001 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000001000000000101000001000001000000000000000000000000000000000001000001000000000000010000000100000000000000 310 00000000000000000000010000010000000000000000000000000100000001000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001 310 00000000000000000000000000000000000000000000000000000001010101010101010101010000000100000001000000000000000000000000010000010000000000000000000000000000000000000101000000000000000000010100000000000000000000000000000000000001000001000000010000000001010000 310 00010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000101000000010001010000000000000000000000000000000000010000010000000000000100000001000000 310 00000000000000000000000000010000010000000000000000000000000101000000010000000101010101000101010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 310 00000000010000000000000000000000000000000000000000000000000101000000000000000000000101010100010000010100000000000000000000000101000100000000000000000000000000000000000101000000000000000000000101000000000000000000000000000000000000010000010000000101000000 310 00010100000100010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101000001000001010000000101000000000100010000000000000000000000000000000000000001000001000000000001000000 310 01000000000000000000000000000000000100000100000000000000000000000001000000010001010101000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000100000000000001000000000000000000000000000000000000000000000101000000010101010100000000000001010100000001000000000000000000000000010000010000000000000000000000000000000100000000000000000101010000010100000000000000000000000000000000000100000100000000 310 00010000000001010101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010101000000000101000000000001000100000000000000000000000000000000000000000100010000000000 310 01000000010000000000000000000000000000000101000001000000000000000000000001010000010101000000000000000001010100000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000001000000000000010000000000000000000000000000000000000000000101000001010100000001010101010000000001010000000100000000000000000000000100000100000000000000000000000000000100000000000000010101000101000001010000000000000000000000000000000001000001 310 00000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101000000000000010001000000000000000000000000000000000000000001000001 310 00000000010000000100000000000000000000000000000001000001000000000000000000000000010000000101000000000101010101000001010101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000010000000000000001000000000000000000000000000000000000000001000001010000000000000000000001010100000000000001010000000000000000000000010001010000000000000000000000000101000000000001010100000000010100000101000000000000000000000000000000 310 01000001000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101000000000000000100010000000000000000000000000000000000000000 310 00010000010000000100000001000000000000000000000000000000010000010000000000000000000000010000000000000001010100000000000000000000010100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000100000000000000010000000000000000000000000000000000000000010001010000000000000000000000000001010000000000000101000000000000000000000100000100000000000000000000000001000000000001010000000000000001010000010100000000000000000000 310 00000000010000010000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000001000100000000000000000000000000000000 310 00000000000001000001000001000000010000000000000000000000000000010000010000000000000000000000010000000000000101010000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000010000010000000000000000000000000000000001010000000000010100000000000000000000010000010000000000000000000001010000000000010000000000000000000100000001000000000000 310 00000000000000000100000100000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000010001000000000000000000000000 310 00000000000000000000010000010000010000000100000000000000000000000000010000000100000000000000000000010000000000000101000000000000000000000000000000010100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000100000100000000000000000000000000000000000001010000000001010000000000000000000101000101000000000000000000010000000000010000000000000000000000010000000100 310 00000000000000000000000001000001000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000100000100000000000000 310 00000000000000000000000000000001000100000100000001000000000000000000000000000100000100000000000000000000010000000000010100000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000001000001000000000000000000000000000000000000000001010000000001000000000000000000010000010000000000000000000100000000010100000000000000000000000100 310 00000101000000000000000000000001010001000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000001000001000000 310 00000000000000000000000000000000000000010000010001000000010000000000000000000000000100000101000000000000000001010000000001010000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000010000010000000000000000000000000000000000000000000001000000000101000000000000000001000001000000000000000001000000000100000000000000000000 310 00000100000000010000000000000000000000010000010000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001010000000000010000 310 01000000000000000000000000000000000000000000000001000001010000000100000000000000000000000100000001000000000000000001010000000101000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000101000101000000000000000000000000000000000000000000000100000000010100000000000000010100000100000000000000010000000001000000000000 310 00000000000001000000000100000000000000000000000100000100000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101000000 310 00010100010100000000000000000000000000000000000000000000010000000100000001000000000000000000000101000001000000000000000101010000000101000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000000000000000000000000000010000010100000000000000000000000000000000000000000000010101000000010100000000000001000000010000000000000100000000010000 310 00000000000000000000010000000001000000000000000000000100000101000000000101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 310 01000000000001000001000000000000000000000000000000000000000000000001000000000000010000000000000000000101000001000000000000000101000000010100000000000000000000000000000000000000000000000101000101000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000100000000000000000000000000000000000101000001010000000000000000000000000000000000000000000000000100000001010100000000010100000001010000000001000000 310 00010000000000000000000000000100000000010000000000000000000101000001000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00010000000100000000010100000100000000000000000000000000000000000000000000010100000000000100000000000000000101000001010000000000010100000001010000000000000000000000000000000000000000000000000101000001000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000096000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000010100000101010000000000000000000000000000000000000000000000010000000000010100000000010000000101000000 310 01000000000100000000000000000000000001000000000100000000000000000001000001010000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000100000001010000000001000001010000000000000000000000000000000000000000000001000000000000010000000000000100000001010000000101010000000101000000000000000000000000000000000000000000000000010101000001010000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000100000000000000000000000000000000000001010000000001010100000000000000000000000000000000000000000001010000000000010101000001010000 310 01010000000100000001000000000000000000000000010000000001000000000000000001000000010000000001010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000001000000000100000000000100000100000000000000000000000000000000000000000000010100000000000100000000000100000001010000010101000000000100000000000000000000000000000000000000000000000101010100000001010000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000101010000000001010101010101010101010101010101010101010101010101000000000000000101 310 00010100000001010001000000010000000000000000000000000100000000010000000000000001010000010000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000010000000000010000000001010000010000000000000000000000000000000000000000000001000000000001000000010100000001000101010000000000000101010101010101010101010101010101010101010101010101000000000001010000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000 310 00000000010101010000000101000100000001000000000000000000000100000000010100000000000001010000010100000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000100000000000100000000000101000001000000000000000000000000000000000000000000010100000000010000010000000101010101000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000001000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000101010000010100000000000000000101000000000100000000000101000000010100000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000001000000000000010000000000010000000101000000000000000000000000000000000000000001000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010100000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000010101010101000000000000000001010101010101 310 01010101010101000000000000000000000000000000000000000001010000000000000101000000000101000000010101000000010100000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000010000000000000100000000000001000000010101000000000000000000000000000000000000010100000001000000000000000000000000000001010101010101010101010101010101000000000000000001010101010100000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000001010101000000 310 00000000000000000000000101010101000000000000000000000000000000000101010101010101000000000101000001010100000000010100000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000100000000000000010000000000000101000000010101000000000000000000000000000000000101000000000000000000000000000101010101000000000000000000000000000000000101010100000000000101010000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000010100000000010101000000 310 00000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000010101010101010000000001010000000000000001010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000010100000000000101010101000000000000000101010101000000000000000000000000010101010100000000000000000000000000000000000000000001010101000000000101000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100000000000000000000000000000000000000000000000000010100000000010100 310 00000000000000000000000000000000000000010101010000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010000000000000000010101000000000000000101010101010100000000000000000000000000000000000000000001010101000000000000000000000000000000000000000000010101000000010100 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000000000000000000000000000000000000000000010000000001 310 01000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000010100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000010101010000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000010100 310 00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 310 00010000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010000000000000000000000000000000000000000000000010000 310 00010100000000000000000000000000000000000000010101000000000000010100000000010101010101010101010000000000000000000000000000010101000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000101010100000000000000000000000000000000000000000001010101010101010100000000000101010000000000000000000000000000000000 310 00000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 310 00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100000000000000000000000000000000000000000000 310 01000000010100000000000000000000000000000000000001010100000000000101010000010101000000010101000000000101010100000000000000000000000001010101000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000101010000000000000000000000000000000000000000000000000000010101000000010101000000000001010000000000000000000000 310 00000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 310 00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000000000000000000000000000000 310 00000001000000010100000000000000000000000000000000000001010000000001010100000000000000000101000000010100000000000001010100000000000000000000000000000101010100000000000001010000000000000000000100000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000001010100000000000000000000000000010100000000000000000000010101000000010101000000000101000000000101000000000000 310 00000000000000000000000000010100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 310 00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010000000000000000000000000000 310 00000000000001000000010100000000000000000000000000000000000101000000000101000000000000000000000000010100000000010000000000000000010101000000000000000000000000000000010101010000010000000000000000000001000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000100000101010101000000000000000000000000000001010001000000000000010101010100010101010000010100000000000101000000000101 310 00000000000000000000000000000000000001010000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000000000000 310 00000000000000000001010000010100000000000000000000000000000000000101000000010100000000000000000000000000000000010100000001010000000000000000010100000000010000000000000000000000000001010100000000000000000000010000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001010100000000000000000000000101010000000101000000010000000001010100000000000000000101000001010000000000000101 310 00000001010000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000 310 00000000000000000000000001010000010100000000000000000000000000000000000101000001010100000000000000000000000000000000000001010000000101000000000000000000010100000101010101010000000000000000000000000000000000000000000100000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000101010101010000000101000000000001010001010000000000000000000000010100000101000000 310 00000000010000000101000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100 310 00000000000000000000000000000000010000000100000000000000000000000000000000000100000001010000000000000000000000000000000000000000000101000000010100000000000000000000010000010100000001010101000000000000000000000000000000000001000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000101010101000000010000010100000000000000000101000000000000000000000000000001010000 310 01010000000000000001010000010100000000000000000000000000000000000101000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000969696969696969696969696969600009696960196969696969696969696969696969696969696969696960096969600969696969696969696969696969696969696969696969696969696009696960000969696969696969696969696969696969696969696969601969696009696969696969696 310 96969696969600000000000000000000000000010100000100000000000000000000000000000000000101000001010000000000000000000000000000000000000000000000010100000001000000000000000000000001000001010000000000000101010100000000000000000000000000010000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001010101000000000000010000010100000000000000000000000000000000000000000000000000 310 00010000000101000000000000000001000001010000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 310 00000000000001000000000000000000000000000000000100000001000000000000000000000000000000000101000001010000000000000000000000000000000000000000000000000001000000000100000000000000000000000100000100000000000000000001010100000000000000000000000100000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000101000000000000000000010000010100000000000000000000000000000000000000000000 310 00000000000001000000010000000000000000010100000101000000000000000000000000000000000001000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000 310 00000000000000000000010000000000000000000000000000000101000001000000000000000000000000000000000001000001010000000000000000000000000000000000000000000000000000000100000000010000000000000000000000010000010000000000000000000100000000000000000000000001000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001000000000000000000010000010100000000000000000000000000000000000000 310 00000000000000000000010000000001000000000000000000010000010000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000000001 310 00000000000000000000000000000100000000000000000000000000000001000000010000000000000000000000000000000001010000010000000000000000000000000000000000000000000000000000000001010000000100000000000000000000000001000001000000000000000001000000000000000000000000 310 01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000000000000000010100010100000000000000000000000000000000 310 00000000000000000000000000000100000000010000000000000000000001000101000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000001000000000000000000000000000100000000000000000000000000000000010000010000000000000000000000000000000000010000010100000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000010000010100000000000000010000000000000000 310 00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000001000000000000000100000100000000000000000000000000 310 00000000000000000000000000000000000001000000000101000000000000000000010100010000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000010000000000000000000000000001000000000000000000000000000000010000000100000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000001000001010000000000000100000000 310 00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000100000100000000000000000000 310 00000000000000000000000000000000000000000000010000000000010000000000000000000001000001000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000100000000000000000000000000010000000000000000000000000000000100000100000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000010100000100000000000001 310 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000001000001000000000000 310 00000000000000000000000000000000000000000000000000000100000000000100000000000000000000010100010000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000001000000000000000000000000000100000000000000000000000000000001000001000000000000000000000000000000000101000001000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000001000001000000 310 00000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000001000000000001010001000000 310 00000000000000000000000000000000000000000000000000000000000001000000000001000000000000000000000001000001000000000000000000000000000000000100000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000010000000000000000000000000001000000000000000000000000000000010000010000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000010100 310 01010000000001010000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001010000010100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000010000 310 01000000000000000000000000000000000000000000000000000000000000000000010000000000010000000000000000000000010000010000000000000000000000000000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000100000000000000000000000000010000000000000000000000000000010000010100000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000 310 00000100000100000000010000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000 310 00010000010000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000000001000100000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000001000000000000000000000000000100000000000000000000000000000100000100000000000000000000000000000000000100000100000000000000000000000000000000000000000000010101010101010101000000010000000001000000000000 310 00000000000001000001000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000001 310 00000000000100010000000000000000000000000000000000000000000000000000000000000000000101000000000001000000000000000000000000010001010000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000000000000000000000001000001000000000000000000000000000000000101000101000000000000000000000000000000000000000001010000000000000000000101010001000000010000 310 00000000000000000000010000010000000001000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 310 00000001000000000100000100000000000000000000000000000000000000000000000000000000000000000101000000000001000000000000000000000000000100000100000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000001000000000000000000000000000000010000010000000000000000000000000000000001000001000000000000000000000000000000000000000101000000000000000000000000000101010000 310 00000100000000000000000000000001000100000000010000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000010000000000 310 00000000000000010000000001000001000000000000000000000000000000000000000000000000000000000000000101000000000000010000000000000000000000000001000001000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000100000100000000000000000000000000000000010000010000000000000000000000000000000000000101000000000000000000000000000000 310 00000000000001000000000000000000000000010001000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000010000000000000000000000000000000000000000000000000000000000000000000000000100 310 00000000000000000000000100000000010000010000000000000000000000000000000000000000000000000000000000010101000000000000010000000000000000000000000000010000010000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000000000000000000000001000001000000000000000000000000000000000100000100000000000000000000000000000000000001000000000000010101010101 310 01010101000000000000010000000000000000000000000100010000000001000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000100000000000000000000000000000000000000000000000000000000000000000000 310 00000100000000000000000000000001000000000100000100000000000000000000000000000000000000000001010101010101010000000000000000010100000000000000000000000000000100000100000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000001000000000000000000000000000000010000010000000000000000000000000000000001000001000000000000000000000000000000000001010000000000010100 310 00000000000000010101000000000100000000000000000000000001000100000000010000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000100000000000000000000000000000000000000000000000000000000000000 310 00000000000001000000000000000000000000010000000001000001000000000000000000000000000000000000000001010000000000000000000000000000010100000000000000000000000000000001000001000000000000000000000000000000000100000001000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000100000100000000000000000000000000000000000100010000000000000000000000000000000000010000000000 310 01010000000000000000000000010000000001000000000000000000000001000001000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000 310 00000000000000000000010000000000000000000000000100000000010100010000000000000000000000000000000000000000010101010100000000000000000001010000000000000000000000000000000000010000010000000000000000000000000000000001000001000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000001000001000000000000000000000000000000000001000101000000000000000000000000000000010000 310 00000001010000000000000000000000000100000000010000000000000000000000010000010000000001000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000 310 00000000000000000000000000000100000000000000000000000001000000000001000101000000000000000000000000000000000000000000000000010100000000000101000000000000000000000000000000000000000100010100000000000000000000000000000000010000010000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000100000000000000000000000000000000010000000100000000000000000000000000000000010000010000000000000000000000000000 310 00010000000000010000000000000000000000000001000000000100000000000000000000000100000100000000010000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000101000000000101010000000000000000000000000000000000000000 310 00000000000000000000000000000000000001000000000000000000000000010000000000010000010000000000000000000000000000000000000000000000000000010100000001010000000000000000000000000000000000000001000100000000000000000000000000000000000100000100000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000100000000000000000000000000000000000100000001000000000000000000000000000000000100000100000000000000000000 310 00000000000100000000000100000000000000000000000000010000000001000000000000000000000001000101000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000101010100000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000010000000000000000000000000100000000000100000100000000000000000000000000000000000000000000000000000000010100000101000000000000000000000000000000000001000001000000000000000000000000000000000001000001000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000010000010000000000000000000000000000000000010001010000000000 310 00000000000000000001000000000100000000000000000000000000000100000000010000000000000000000001000001000000000001000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000100000000000000000000000001000000000001010001010000000000000000000000000000000000000000000000000000000001010000010100000000000000000000000000000000010000010000000000000000000000000000000001010000010000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000100000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000100000100000000000000000000000000000000000100000100 310 00000000000000000000000000010000000001000000000000000000000000000001000000000100000000000000000000010000010000000000000100000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000100000100000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000010100010100000000000000000000000000000000010000 310 00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000001000000010000000000000000000000000000000001 310 01000001000000000000000000000000000100000000010000000000000000000000000000010000000001000000000000000000010000010100000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000001010001010000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000100000100000000000000000000000000000000 310 00010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000010000000100000000000000000000000000 310 00000000010000010000000000000000000000000001000000000100000000000000000000000000000100000000010000000000000000010100000100000000000000010000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000001000000000000000100000100000000000000000000000000000000000000000000000000000000000100000001010000000000000000000000000101000101000000000000000000000000 310 00000000010100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000001000001010000000000000000 310 00000000000000000101000001000000000000000000000000010000000001000000000000000000000000000001000000000100000000000000000100000100000000000000000100000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001000000000000000001010000010000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000001000001000000000000000000 310 00000000000000000100000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000 310 00000100000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000010000000100000000 310 00000000000000000000000000010000000100000000000000000000000100000000010000000000000000000000000001000000000001000000000000000100000101000000000000000001010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000000000000000000100000101000000000000000000000001010100000000000000000000000000010000000001000000000000000000000001000001010000000000 310 00000000000000000000000101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 310 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000101000001 310 01000000000000000000000000000000000001000001010000000000000000000001000000000100000000000000000000000000010000000000010000000000000101000001000000000000000001010100000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000101000000000000000000010000010100000000000000000000010001000000000000000000000000000100000000010000000000000000000001010001010000 310 00000000000000000000000000000001000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000 310 01000000010000000000000000000000000000000000010100000101000000000000000000010100000001000000000000000000000000000100000000010000000000000100000001000000000000010101000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010101010000000000000001000001010000000000000000000100010100000000000000000000000001000000000100000000000000000001000000 310 01000000000000000000000000000000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000000000000000000000000000000 310 00000000000100000001000000000000000000000000000000000001010000010100000000000000000001000000010000000000000000000000000100000000000100000000000100000001000000010101010000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000001010101010000010100000101000000000000000001000001000000000000000000000000010000000001000000000000000101 310 00000001000000000000000000000000000000000001010000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000 310 00000000000000000001010000010100000000000000000000000000000000000101000001010000000000000000010000000001000000000000000000000101000000000101000000010100000001000101010000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001010101010000010100000000000000010000010100000000000000000000010100000000010000000000 310 00010100000001000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000000000 310 00000000000000000000000000000100000001010000000000000000000000000000000000010100000001010000000000000101000000010000000000000000000001000000000001000000010100000001010100000000000000000000000101010000000000000000000001000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000101000000000000000000000000010101000000010100000000000100000001010000000000000000010100000000000100 310 00000001010100000101000000000000000000000000000000000000010000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000000000000 310 00000000000000000000000000000000000000010000000100000000000000000000000000000000000001010000000101010000000000010100000001000000000000000001010000000001010101010000000000000000000000000000000101010100000100000000000000000000010000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000101010100000000000000000000000000000001010100000001000000000101010000000001010100000000 310 00010100000101010000000101000000000000000000000000000000000000010000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000 310 00000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000001010000000001010100000001000000000100000000000001010000000001010101000000000000000000000000000101010100000000000001000000000000000000000100000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000010101010000000000000000000000000001010100010100000000000101010101010000 310 00000000010100010100000000010100000000000000000000000000000000000000010100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000 310 00000000000000000000000000000000000000000000000000000000010100000001010000000000000000000000000000000000000001010000000000010101000100000000010101010101000000000000010100000000000000000000000001010100000000000000000000000100000000000000000001000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000001010100000000000000000000000000010101010100000000000000 310 00000000000000010101010000000001010100000000000000000000000000000000000001010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001 310 00000000000000000000000000000000000000000000000000000000000000000000010000000101000000000000000000000000000000000000000101010000000000010101010100000000000000000000000000000000000000000000000000000101010100000000000000000000000001000000000000000000010000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000010101000000000000000000000000000101000000 310 00000000000000000000010100000000000101000000000000000000000000000000000000000001010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000000001 310 00000001000000000000000000000000000000000000000000000000000000000000000000000101000000000101000000000000000000000000000000000000000101010100000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000100000000000000 310 00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000101010000000000000000000000000000000000 310 00000000000000000000000000000000000001010100000000000000000000000000000000000000000001010000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000001000001000000000000000000000000000000000000000000000000000000000000000000000000000101000000010101000000000000000000000000000000000000000001010101000000000000000000000000000000000000000000000101010101000000000000000000010101000000000000000001000000 310 00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000010100000000000000000001010101000000 310 00000000000000000000000000000000000000010101010000000000000000000000000000000000000000000101000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000 310 00000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000010101000000000000000000000000000000000000000000000101010100000000000000000000000000010101010100000101010000000000000000000000010100000000000000 310 01000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000001010000000000010101010101000000 310 00010101010100000000000000000000000000000101010100000000000000000000000000000000000000000000010101000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000101010100000000000000000000000000000001010101010000000000000000000000000101010100000000000101000000000000000000000000000001010000 310 00000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000101000000000101010000000001 310 01010101010101010000010101000000000000000000000000010101010101000000000000000000000000000000000101010100000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000 310 00000000000000000000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000010101010101010101010101010101010000000000000000000000000000010101000000000000000100000000000101010101000000010100 310 00000101000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010100000000000101000000010100000000 310 00000001000000000000000001010101010000000000000000000000000000000000010101010101010101010101010101010100000000000000010101010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000 310 00000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000101000000010100 310 00000101010000010000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000100000001010000 310 00000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000101000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000 310 00000000000000000000000000000000000101000000010000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000010101010000000000000001000000000000000101000000000100000000 310 00000101000001000101000001000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000101000000000100000001 310 01000000000000000000010100000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000 310 00000000000000000000000000000000000000010100000000010000000000000000000000000000000000000000000000000000000000000000000001010100000000000101010101010101010101010101010101010101010101010000000000000001010101010000010100000000010000000000000001000000000101 310 00000000000000010000000100010100000100000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101000000000100 310 00000101000000000000000000000000010000000000000000000000000000000000000000000000010101010100000000000001010101010101010101010101010101010101010101010100000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000101010101010101010101010101010101010101010101010101010101010101010101010101010101010101 310 01010101010101010101010101010101010101010101010000000001010000000000000000000000000000000000000000000000000000000000000000000001010000000101010100000000000000000000000000000000000000000000010100000000010101000001010000010101000000000100000000000000010000 310 00000100000000000000000001000001000000010000010000000001010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000 310 00000100000101000000000000000000000000000101000000000000000000000000000000000000000000000001010001010100000000000101000000000000000000000000000000000000000000010101010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000096000000000000 310 00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000001010000010101000000000000000000000000000000000000000000000001010000000001010000000001010000010100010000000001000000000000 310 01000000000001000000000000000000010000000100000100000101000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001 310 01000000000100000101000000000000000000000000000000000100000101010101010101010101010101010101010000000100000000010101000000000100000000000000000000000000000000000000000000000001010000000101000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000960000 310 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000001010000010100000000000000000000000000000000000000000000000101000000000101000000000001000000010100000100000000010000 310 00000000010000000001000000000000000000000100000001000000010000010000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 310 00000001000000000001000001000000000000000000000000000000000001000000000100000000000000000000000000000001010000010000000000010101000000010100000000000000000000000000000000000000000000000001010000010000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 310 00960000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000010000010100000000000000000000000000000000000000000000000101000000010100000000000001010000010100000001000000 310 00010000000000000100000000010000000000000000000001000000010100000001000101000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000001000001000000000001000001000000000000000000000000000000000000000101000001010000000000000000000000000000000101000001000000000000010101000000010100000000000000000000000000000000000000000000000101000001000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000010100010100000000000000000000000000000000000000000000010100000001010000000000000001010000010100000000 310 01000000000100000000000100000000000100000000000000000000010100000001000000010000010000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000010001000000000000010001010000000000000000000000000000000000000000010000000101000000000000000000000000000000010000000100000000000000010100000000010100000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 310 00000000000000000096000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000001010100000001010000000000000001010000010100 310 00000000010000000001000000000001000000000001000000000000000000000001000000010000000100000100000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000010000010000000000010000010000000000000000000000000000000000000000000001000000010100000000000000000000000000000001000001010000000000000001010100000001010000000000000000000000000000000000000000000101000100000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000 310 00000000000000000000000000960000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000001010000000001000000000000000000010000 310 01000000000000000100000000010000000000010000000000010000000000000000000000010000000100000000010001000000000000010100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000100010000000000000100000100000000000000000000000000000000000000000000000100000001000000000000000000000000000000000100000100000000000000000001010000000001010000000000000000000000000000000000000000010001010000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 310 00000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000101000000000101000000000000000000 310 01010000010000000000000001000000000100000000000100000000010000000000000000000000000100000000010000000100010000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000100010000000000000001000001000000000000000000000000000000000000000000000000010000000100000000000000000000000000000001010000010000000000000000000101000000000101010000000000000000000000000000000000000100000100000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000 310 00000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000010101000000000101000000000000 310 00000001010000010000000000000000010000000001000000000001000000000100000000000000000000000001000000000100000001000001000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000001010000000000000000010000010000000000000000000000000000000000000000000000000101000000010000000000000000000000000000000101000001000000000000000000010100000000000101000000000000000000000000000000000101000101000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101 310 01000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010000000000000000000000000001010100000000000101000000 310 00000000000000010000010100000000000000000100000000010000000000010000000001000000000000000000000000010000000001000000010000010000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000101010100000000000000000100010100000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000010000010000000000000000000001010000000000000101000000000000000000000000000001000001 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000101000000000000000000000000000000000000000000009600000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101000000000000000000000101010000000000000001 310 00000000000000000000010100000100000000000000000001000000000100000000000100000000010000000000000000000000000100000000010000000100000100000000000001000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000100000001000000000000000100000100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000101000001000000000000000000000101000000000000000101010000000000000000000001 310 01000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000101010000000000000000000000000000000000000096000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010101000000000101010101000000010000 310 00000100000000000000000000000100000101000000000000000000010000000001000000000001000000000100000000000000000000000001000000000100000001000001000000000001010000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000010100000000000101000000000001000001000000000000000000000000000000000000000000000000000000010100000001000000000000000000000000000000010000010000000000000000000000010100000001000000000101010100000000 310 00010101000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000001010100000000000000000000000000000000960000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010101010100000000000001 310 01010000000101000000000000000000000101000001000000000000000000000100000000010000000000010000000001000000000000000000000000010000000001000000010000010000000000010000000001010000010100000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000001010000010100000000010000000000010000010000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000101000101000000000000000000000001000000010101000000000001 310 01010101010100000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000010101010100000000000000000000009600000000000000000000000101010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000 310 00010101010000000101000000000000000000000001000000010000000000000000000001000000000100000000000100000000010000000000000000000000000100000000010000000100000100000001010000000001010001010000010101010101010101010101010101010101010101010101010101010101010101 310 01010101010101010101010101010101010101010101010101010101010101010101000000010000010000000001010000000001000100000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000010000010000000000000000000000010100000001000101 310 01000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000010101010101010100000096000000010101010101010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101010000 310 01010101010000000100000001000000000000000000000000010000010000000000000000000000010000000001000000000001000000000100000000000000000000000001000000000100000001000001000000010000000001010000000101000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000101000000010001010000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000100000100000000000000000000000001010000 310 01010000010101010101000001010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101960101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00010101000000000000000100000001010000000000000000000000010100000100000000000000000000000100000000010000000000010000000001000000000000000000000000010000000001000000010000010000010000000001010000000000000100000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000010100000000010000000100000100000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000010001010000000000000000000000 310 00010000000100000000000000010101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000009600000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000001000000010000000000000000000000000100000001000000000000000000000001000000000100000000000100000000010000000000000000000000000100000000010000000100010001010000000001010000000000000000010100000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000000001010001000001000000000000000000000000000000000000000000000000000000000001010000000100000000000000000000000000000100000100000000000000 310 00000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000096000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000010000010000000000000000000000000001000001000000000000000000000000010000000001000000000001000000000100000000000000000000000001000000000100000100000100010000000001010000000000000000000001010000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001000000000101010000010000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000001000001000000 310 00000000000000000000010000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000960000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000010000000100000000000000000000000000010000010000000000000000000000000100000000010000000000010000000001000000000000000000000000010000000001000001000001010000000001010000000000000000000000000001010000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000100000000010101000101000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000010000 310 01000000000000000000000000000101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000100000100000000000000000000000000000100000100000000000000000000000001000000000100000000000100000000010000000000000000000000000100000000010000010001010000000001010000000000000000000000000000000101010000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000001010000000001010000010000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000 310 00010100010000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000001000001000000000000000000000000010000000001000000000001000000000100000000000000000000000001000000000100010000010000000001010000000000000000000000000000000000 310 00010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000001000000000100000100000000000000000000000000000000000000000000000000000000000001000000000100000000000000 310 00000000000001000100000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000010000010000000000000000000000000100000000010000000000010000000001000000000000000000000000010000000001000100000000000001010001010101010101010101010000 310 00000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000010101010101010101010101000100000000000001010000000000000000000000000000000000000000000000000000000000010000000000010000 310 00000000000000000000010001000000000000000000000000000001010001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000010000010100000000000000000000000000000100000100000000000000000000000001000000000100000000000001000000010000000000000000000000000100000000010100000000000001010101000000000000000000 310 00010101000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000001010100000000000000000000000101010000000000000101000000000000000000000000000000000000000000000000000000000100000000 310 00010000000000000000000000000100010000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000001000001000000000000000000000000010000000001000000000000010000000100000000000000000000000101000000000101000000000000010000000000000000 310 00000000000000000101010000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000101000000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000 310 01000000000100000000000000000000000101000100000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000010000010000000000000000000000000100000000010000000000000100000001010000000000000000000001000000000101000000000000000000000101 310 01010101010101010101000000000101000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000010101000000000000000000010100000000010101010101010101010101000000000000000000000101000000000000000000000000000000000000000000000000 310 00000000010000000001010000000000000000000001000001000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000101000100000000000000000000000001000000000100000000000001000000000100000000000000000000010000000001000000000000000000 310 01010100000000000000000000000101010000000101000000000000000000000100000001010000000000000000000000000000000000000000000001010000000100000000000000000000010100000001010000000000000000000000010000000000000000000000010000000000000000000000000000000000000000 310 00000000000000000100000000000100000000000000000000010000010000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000010000010000000000000000000000010000000001000000000000010100000001000000000000000000000100000000000000000000 310 00000101000000000000000000000000000000000101000000010100000000000000000100000001000001010101010101000000000000000101010101010101000100000001000000000000000000010100000101000000000000000000000000000100000001010000000000000001000000000000000000000000000000 310 00000000000000000000000001000000000001000000000000000000000100000100000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000100000100000000000000000000000100000000010000000000000001000000010000000000000000000001000000000000 310 00000000000001000000000000000001010101010101010101010100000001010000000000000001000000010000000000000000010101010101010101000000000000000001010000010000000000000000010100000101000000000000000000000000000001000000010101010000000000000100000000000000000000 310 00010101010000000000000000000000010000000000010000000000000000000100000001000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000001000001010000000001010101010001000000000100000000000000010000000001000000000000000001010000 310 00000000000000000000010000000000000000010000000000000000000000000000000101000000000000010000000100000000000000000000000000000000000000000000000000000100000001000000000000010100000100000000000000000000000000000000010000000100000101000000000001010000000000 310 00000000000100000100000000000000000000000100000000000100000000000000000001000001010000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000100000100000000010000000001010000000001000000000000000001000000010000000000000000 310 01000000000000000001000000000100000000000000000101010101010000000001010101010000010000000000000100000001000000000000000000000000000000000000000000000000000001000000010000000000000100000100000000000000000000000000000000000100000001000000000101000000000001 310 00000000000000000001000001000000000000000000000001000000000001000000000000000000010000010000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000001000001010000000100000000000000000000010000000000000000010000000101000000 310 00000000010000000000000001010000000001000000000000000000000000000100000001010000000100000001000000000100000000010000000000000000000000000000000000000000000000000000010000000100000000000100000101000000000000000000000000000000000001000000010000000000010100 310 00000000010000000000000000010000010000000000000000000001000000000000010000000000000000010000000100000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000100000101000000010101000000000000000100000000000000000001000000 310 01000000000000010000000000000101000100000000010000000000000000000000000001000000010000000000010000010000000001000000010000000000000000000000000001010100000000000000000000000001000000010000000101000101000000000000000000000000000000000000010000000100000000 310 00000101000000000101000000000000000100000100000000000000000000010000000000000100000000000000010100000100000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000001010000010000000000010101000000000001000000000000000000 310 01010000010100000001010000000000000101000001000000000100000000000000000000000000010000000100000000000101000001000000010000000100000000000000000101010101000001010101010000000000000000010000000100000001000001000000000000000000000000000000000000000100000001 310 00000000000000010100000000000101000000000001000001000000000000000000010100000000000101000000000000000100000101000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000100000001000000000000000101000000010000000000 310 00000000000101000000010101010000000000000001000000010000000001000000000000000000000000000100000001000000000000010000010000010100000001000000000001010100000000000000000000000101010100000000000100000001000001010001010000000000000000000000000000000000000001 310 00000001000000000000000001010000000000010100000000010000010000000000000000000100000000000001000000000000010100000101000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000010000000101000000000000010101010100 310 00000000000000000000010100000000000000000000000001000000000100000000010000000000000000000000000001000000010000000000000101000001000100000000010000000101010000000000000101010100000000000001010000000001000000010000010000010000000000000000000000000000000000 310 00000001000000010000000000000000000101000000000000010100000100000001000000000000000101000000000001010000000000010100000001000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000101000000010100000000000000 310 01010000000000000000000000000001010100000000000000000001000000000001000000000100000000000000000000000000010000000100000000000000010000010001000000010000000101000000000101010101000001010101010000000001010000000100000001000100010100000000000000000000000000 310 00000000000000010000000100000000000000000000010100000000000001010101000000010101010001010100000000000000010000000000010100000001000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000100000000000000000000000000000000000000000100000001010000 310 00000000000000000000000000000000000000010101000000000000000001010000000000010000000001000000000000000000000000000100000001000000000000000100000100010000000100010100000000000100000000000000000000000101010000000001000001000000010001000100000000000000000000 310 00000000000000000000000100000001000000000000000000000001000000000000000001010000000000000101010000000000000000010000000001010000000101000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010000000000000000000000000000000000000000010100 310 00000101010000000000000000000000000000000101010100000000000000000000010000000000000100000000010000000000000000000000000001000000010000000000000000010000010100000100010100000000000001000000000000000000000000000101010000000101010000000101000001000000000000 310 00000000000000000000000000000001000000010000000000000000000000010100000000000000000000000000000000000000000000000001010000010101000000000101000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000 310 00000101000000000101010101000000000001010101010100000000000000000000000000010000000000000001000000000100000000000000000000000000010000000100000000000000000100000100000001010100000101000000010000000000000000000000000000000101000000010100000001010000010000 310 00000000000000000000000000000000000000010000000100000000000000000000000001000000000000000000000000000000000000000000000101010101010100000000010100000000000000000000000000000000000000000001010000010000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000001010000000000000000000000000000 310 00000000000000010101000000000000000101010101000000000000000000010101000000000000010100000000000000010000000001000000000000000000000000000100000001000000000000000001000001000000010000000101010000000100000000000101010101010000000000010100000001010000000100 310 01010000000000000000000000000000000000000000000100000001000000000000000000000000000100000000000001010000000000000000000000000101000000000000000001010000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000 310 00000000000000000000000000010101010000000000000000000000000000010101010101000000000000000100000000000000000100000000010000000000000000000000000001000000010000000000000000010000000000000100000101000100000001000000000001000000000001010101010100000000000100 310 00000000010000000000000000000000000000000000000000000001000000010000000000000000000000000001000000000000000101010101000000000000000000000000000000010101000000000000000000000000000000000000000000000000010100000100000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000001010000000000 310 00000000000000000000000000000000000000000101010101010101010101010101010100000001000000000100000101000000000000000001000000000100000000000000000000000000010000000100000000000000000100000000000000000101000001000000010000000001010000000000000000000000000000 310 00000000000000000100000000000000000000000000000000000000000000010000000100000000000000000000000000000100000000000000010100010101010101010000000101010101010000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000101000001000000000000000000010000000001000000000000000000000000000100000001000000000000000001000000000000000001000000010000000100000000000100000000000000000000 310 00000000000000000000000001000000000000000000000000000000000000000000000100000001000000000000000000000000000000010001010000000001010000000000000001010100000000000000000000000000000000000000000000000000000000000000000100000001010000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 310 00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000101010000010000000000000000000100000000010000000000000000000000000001000000010000000000000000010000000000000001000000000100000001000000000000010000000000 310 00000000000000000000000000000000010000000000000000000000000000000000000000000001000000010000000000000000000000000000000100000101000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000010000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000001010000010100000000000000000000000000000000000000000000000000000000000000000000000000000101000000000101010000010100000000000000000001000000000100000000000000000000000000010000000100000000000000000100000000000001010000000001000000010000000000000101 310 00000001010101010101010101010100000000000100000000000000000000000000000000000000000000010000000100000000000000000000000000000001000001010100000000000101000000000000000000000000000000000000000000000000000000000000000000000000000101000000010000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000101000001010000000000000000000000000000000000000000000000000000000000000000000000010100000000000001000100000100000000000000000000010000000001000000000000000000000000000100000001000000000000000001000000000001010000000000010000000100000000 310 00000001010000010000000000000000000001000000000001000000000000000000000000000000000000000000000100000001000000000000000000000000000000000100010101010000000000010100000000000000000000000000000000000000000000000000000000000000000000000101000000010000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000010000000101000000000000000000000000000000000000000000000000000000000000000000010100000000000001000001000001000000000000000000000100000000010000000000000000000000000001000000010000000000000000010000000000010000000000000100000001 310 00000000000000000101000001010000000000000000010100000000010000000000000000000000000000000000000000000001000000010000000000000000000000000000000001000001000101000000000000010100000000000000000000000000000000000000000000000000000000000000000101000000010100 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000001010000010100000000000000000000000000000000000000000000000000000000000001010000000000000001000001000001000000000000000000000001000000000100000000000000000000000000010000000100000000000000000100000000010100000000000001 310 00000001000000000000000000010100000101000000000000000001000000000100000000000000000000000000000000000000000000010000000100000000000000000000000000000000010000010000010100000000000001010000000000000000000000000000000000000000000000000000000000000100000000 310 01010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000101000000010100000000000000000000000000000000000000000000000000000001010000000000000001000000010000010000000000000000000000010000000001000000000000000000000000000100000001000000000000000001000000000100000000 310 00000001000000010000000000000000000001000000010000000000000000010100000001000000000000000000000000000000000000000000000100000001000000000000000000000000000000000001000100000001000000000000000001010000000000000000000000000000000000000000000000000000010100 310 00000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000010100000001010000000000000000000000000000000000000000000000000101000000000101000001010000000100000100000000000000000000000100000000010000000000000000000000000001000000010000000000000000010000000001 310 00000000000000010000000100000000000000000000000100000001000000000000000001000000010100000000000000000000000000000000000000000001000000010000000000000000000000000000000000010001000000000100000101000000000001010000000000000000000000000000000000000000000001 310 01000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000001010000000001010100000000000000000000000000000000000001010100000000010101000000010000000001000001000000000000000000000001000000000100000000000000000000000000010000000100000000000000000100 310 00000100000000000000000100000001000000000000000000000001010000000100000000000000010000000001000000000000000000000000000000000000000000010000000100000000000000000000000000000000000100000100000001010000010101000000000001010000000000000000000000000000000000 310 00010101000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000010101010000000000000000000000010101000000000001010100010000010000000000010001010000000000000000000000010000000001000000000000000000000000000100000001000000000000 310 00010000000001000000000000000001000000010000000000000000000000000101000000010000000000000101000000010000000000000000000000000000000000000000000100000001000000000000000000000000000000000001000001000000000100000101000101000000000001010101000000000000000000 310 00000101010100000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000101010101010101010101010000000000000001010000010000000100000000010100010000000000000000000000000100000000010000000000000000000000000001000000010000 310 00000000000100000000010000000000000000010000000100000000000000000000000000010000000101000000000000010000000100000000000000000000000000000000000000000001000000010000000000000000000000000000000000010000010000000000010000010000010100000000000000010101010101 310 01010101010100000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000101000000000100000100000000000100000100000000000000000000000001000000000100000000000000000000000000010000 310 00010000000000000001000000010000000000000000000100000001000000000000000000000000000001000000010100000000000100000000010000000000000000000000000000000000000000010000000100000000000000000000000000000000000100000100000000000101000001000000010101000000000000 310 00000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010100000000000000000000000000000000010100000000000100000001000000000001000001000000000000000000000000010000000001000000000000000000000000 310 00010000000100000000000000010000000100000000000000000001000000010000000000000000000000000000010100000001000000000001010000000100000000000000000000000000000000000000000100000001000000000000000000000000000000000001000001000000000000010000010000000000010100 310 00000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101010101010000000000000001010000000000000001000001000000000000010000010000000000000000000000000100000000010000000000000000 310 00000000000100000001000000000000010000000001000000000000000000010000000100000000000000000000000000000001000000010100000000000100000001000000000000000000000000000000000000000001000000010000000000000000000000000000000000010000010000000000000101000001000000 310 00000001010000000000000000000101010101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000101010000000000000001000001010000000000000100000100000000000000000000000001000000000100000000 310 00000000000000000001000000010000000000000100000000010000000000000000000100000001000000000000000000000000000000000100000001010000000001000000000100000000000000000000000000000000000000010000000100000000000000000000000000000000000100000100000000000000010000 310 01000000000000000001010000000101010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000010100000000000000000001000001000000000000000001000001000000000000000000000000010000000001 310 00000000000000000000000000010000000100000000000101000000000100000000000000000001000000010000000000000000000000000000000001010000000100000000010000000001000000000000000000000000000000000000000100000001000000000000000000000000000000000001000001000000000000 310 00010100000100000000000000000001000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000010100000000000000000000010000010000000000000000010000010000000000000000000000000100 310 00000001000000000000000000000000000100000001000000000001000000000001000000000000000000010000000100000000000000000000000000000000000100000001010000000100000000000100000000000000000000000000000000000001000000010000000000000000000000000000000000010000010000 310 00000000000001000001010000000000000000000101000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000001010000000000000000000000010000000100000000000000000100000100000000000000000000 310 00000100000000010000000000000000000000000001000000010000000001000000000000010000000000000000000100000001000000000000000000000000000000000000010000000100000001000000000001000000000000000000000000000101010000010000000100000000000000000000000000000000000100 310 00010000000000000000010000000100000000000000000000000101000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000001010000000000000000000000000100000001000000000000000000010001010000000000 310 00000000000001000000000100000000000000000000000000010000000100000000010000000000010000000000000000000001000000010000000000000000000000000000000000000100000001010000010000000000000100000000000000000000000101000101010100000001000000000000000000000000000000 310 00000100000100000000000000000101000001010000000000000000000000010100000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000001010000000000000000000000000100000001000000000000000000000100000100 310 00000000000000000000010000000001000000000000000000000000000100000001000000010000000000000100000000000000000000010000000100000000000000000000000000000000000001000000000100000100000000000001000000000000000000000001000000000000000000010000000000000000000000 310 00000000000001000101000000000000000000010000000100000000000000000000000001010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000001010000000000000000000000000001000000010000000000000000000001 310 00000100000000000101010101010100000000010000000000000000000000000001000000010000000100000000000001000000000000000000000100000001000000000000000000000000000000000000000100000001010001000000000000000100000000000000000000010100000000000000000100000000000000 310 00000000000000000001000001000000000000000000000100000000010000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010000000000000000000000000001000000000100000000000000 310 00000001000001010000000001000000000001000000000100000000000000010100000000010000000100000100000000000000010000000000000000000001000000010000000000000000000000000000000000000001000000000100010000000000000000010000000000000000000000010100000000000001000000 310 00000000000000000000000000010000010000000000000000000001000000000100000000000000000000000000010100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010000000000000000000000000001010000000001000000 310 00000000000000010100000100000000010100000000000000000001000000000000010101010101010100000001000100000000000000000100000000000000000000010000000100000000000000000000000000000000000000010000000001000100000000000000000101000000000000000000000001010100000000 310 01000000000000000000000000000000000100000100000000000000000000010100000000010000000000000000000000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000001010000000000 310 01000000000000000000000001000001010000000000010000000000000000010000000000000101000000000000000000010100000001000000010000010000000000000000000100000001000000000000000000000000000000000000000001000000010101000000000000010000010100000000000000000000000001 310 01010000010000000000000000000000000000000100000100000000000000000000000001000000000101000000000000000000000000000100000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010100000000000000000000000000010000 310 00000001000000000000000000000000010100000100000000000001010100000000000100000000000000000100000000000000000100000001010000010100000100000000000000000001000000010000000000000000000000000000000000000000010000000001010000010000000100000001010000000000000000 310 00000000000101000100000000000000000000000000000101000001000000000000000000000000010000000000010100000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000 310 01010000010000010000000000000000000000000001000000010000000000000000010000000001000000000000000000010100000000000000000001010000000101000001000000000000000000010000000100000000000000000000000000000000000000000100000000010100010100000001010100000101000000 310 00000000000000000000000101000000000000000000000000000001000001010000000000000000000000000100000101000001000000000000000000000000000101000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000 310 00000000010000010100000100000000000000000000000000010100000100000000000000000001010000010000000000000000000000010100000000000001010100000001010000010000000000000000000100000001000000000000000000000000000000000000000001000000000101000101000000010101000000 310 01010000000000000000000000000000000000000000000000000000000001000001010000000000000000000000000001000001010000010100000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000 310 00000000000000010000000101000001000000000000000000000000000001000000010000000000000000000001010100000000000000000000000000010100000001010001000000010100000100000001010101000001000000010000000000000000000000000000000000000000010000000001000001000100000001 310 00010000000101000000000000000000000000000000000000000000000000000001010000010000000000000000000000000000010000010001000001010000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100 310 00000000000000000000010100000100010000010100000000000000000000000000000100000001000000000000000000000000000000000000000000000000010100000001010001000000000101010001000000010000000101010000000100000000000001010101000000000000000000000100000000000000010001 310 00000001000001010000000100000000000000000000000000000000000000000000000001010000010100000000000000000000000000000100000100000100000101000000000000000000000101000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 310 00000100000000000000000000010000000101000001000001000000000000000000000000000001010000010100000000000000000000000000000000000000000000010100000001010000010000000100000100000100010100000000000000000001000000000000010000010000000000000000000001000000000000 310 01000001000000010000000100000000010100000000000000000000000000000000000000000001010000010100000000000000000000000000000001000001000001010000010100000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000001010001010000000000000001010000000101000000010000010000000000000000000000000000000101000000010100000000000000000000000000000000000001010000000101000000010100000001000001000001000001010100000000000000010000000000000100000100000000000000000000010000 310 00000000010000010000000001000000010000000000010100000000000000000000000000000000000101010000010100000000000000000000000000000000010000010000000101000001010100000000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000100000101000000000101010000000101000000000100000100000000000000000000000000000000010100000001010000000000000000000000000000000101010000000101000000000100000000010000010000010000000001010100000000000100000000000001000001000000000000000000 310 00010000000000000100000101000000010000000001010100000001010100000000000000000000000000010101000000010100000000000000000000000000000000010000000100000000010100000001010100000001010000010000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000001010000010101010101000000010100000000000001000001000000000000000000000000000000000001010000000001010101000000000000000001010100000000010100000000000001000000000100000001000001000000000001010100000001000000000000010000010000000000 310 00000000010000000000000001000000010000000101000000000001010000000001010101000000000000000001010100000000010100000000000000000000000000000000000100000101000000000001010000000001010101010000010100000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000101000000000000000001010100000000000000010000010100000000000000000000000000000000000001010000000000000101010101010101000000000001010000000000000001000000000001000000010000010000000000000001010100010000000000000100000100 310 00000000000000010100000000000001000000000100000000010000000000000001010000000000010101010101010101000000000001010000000000000000000000000000000000000001000001000000000000000101010000000000000000010100000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000010101000000000101010000000000000000000101000001000000000000000000000000000000000000000101010000000000000000000000000000010101000000000000000000010000000000010000000101000101000000000000000001010100000000000001 310 00000100000000000000000100000000000000010000000001000000000101000000000000000001010100000000000000000000000000000101010000000000000000000000000000000000000000010000010000000000000000000101010000000001010100000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000010101010100000000000000000000000000010000010000000000000000000000000000000000000000000101010101000000000000010101010000000000000000000000010000010100000100000000010000010000000000000000000000000000 310 00000001000001010100000000010100000000000000010000000000010000000000010000000000000000000000010101010101000000010101010100000000000000000000000000000000000000000000010000000100000000000000000000000101010101010000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000101010101010000000000000000000000000000000100000101000100000000000101000001000000000000000000 310 00000000000000010000000001010101010100000000000000000100000000000100000100000101000000000000000000000000000000010101010100000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000100010001000000000000010000010100000000 310 00000000000000000000000101010000000000000000000000000000000100000000000001000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000001000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000100010000000000000101000001 310 00000000000000000000000000000000000101010100000000000000010101000001000000000000010000010001000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000001000100000000000000 310 01000000010000000000000000000000000000000000000001010101010101010101000001000000000000000100000100010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010000010001000000 310 00000000000100000001000000000000000000000000000000000000000000000000000001000001000000000000000001000001000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000100 310 01000000000000000001010000000100000000000000000000000000000000000000000000000001000001010000000000000000010000010000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100 310 00000100010100000000000000000101000000010000000000000000000000000000000000000000000101000001010000000000000000000100000100000001000001010000000000000000000000000000000000000000000000000000000000000000000000000000010000000101000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000100000000000000000000000000000000000000000000000000000000000000000000000000010000 310 00010000000001000001000000000000000000010100000001010000000000000000000000000000000000000101000001010000000000000000000001000001000000000100000101000000000000000000000000000000000000000000000000000000000000000000000000010100000001000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000010000000000000000000000000000000000000000000000000000000000000000000000 310 01000000010000000000010000010000000000000000000001010000000101010000000000000000000000000000010101000001010000000000000000000000010000010000000000010000010100000000000000000000000000000000000000000000000000000000000000000000010100000001000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000001000000000000000000000000000000000000000000000000000000000000 310 00000101000000010100000000000100000100000000000000000000000101000000000101010000000000000000000001010100000001010000000000000000000000010000000100000000000100000001010000000000000000000000000000000000000000000000000000000000000000010100000001010000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000101000000000000000000000000000000000000000000000000 310 00000000000101000000000100000000000001000001000000000000000000000000000101000000000101010101010101010101010000000101010000000000000000000000000100000001000000000001000000000101000000000000000000000000000000000000000000000000000000000000010100000001010000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010100000000000000000000000000000000000000 310 00000000000000000101000000000101000000000000010000010000000000000000000000000000010101000000000000000000000000000000010101000000000000000000000000000001000000010000000000000100000000010101000000000000000000000000000000000000000000000000000001010100000001 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000010100000000000000000000000000 310 00000000000000000000010100000000000001000000000000000100000001000000000000000000000000000000000101010000000000000000000001010100000000000000000000000000000000010000000100000000000000010000000000010100000000000000000000000000000000000000000000000001010000 310 00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000001010100000000000000 310 00000000000000000000000101010000000000000001000000000000000001000000010000000000000000000000000000000000000001010101010101010101010000000000000000000000000000000000010000000001000000000000000100000000000000010100000000000000000000000000000000000000000101 310 01000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000010101 310 00000000000000000000000000010101000000000101000001010000000000000000010000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000010000010000000000010101000000000000000000000000000001 310 01010000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000 310 00000001010101010100000101010101010000000000000101010000010000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000100000000000000000100000101010000000000000101010101010101010101 310 01010100000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00010101000000000000000001010101000000000000000000010100010100010100000000000000000001000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000000000000010000010001010100000000000000000000 310 00000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000101010000000000000000000000000000000101010000000100000100000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000010000000000000000000100000100000001010100000000 310 00000000000000000000000001010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000001010101000000000000000001010101000000000001000101000000000000000000000100000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000100000000000000000000010000010000000000 310 01010101010000000000000001010101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000101010101010101000000000000000001010001000000000000000000000001000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000101000001000000000000000000000100000100 310 00000000000000000101010101010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000010000010100000001000000000000000000000000000000000000000000000000000000000000000000000000000000010100000001010000010000000000000000000000 310 01000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010100000000000000000000000100000101010000010100000000000000000000000000000000000000000000000000000000000000000000000000010100000001000100000100000000000000 310 00000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000001000001000100000001010000000000000000000000000000000000000000000000000000000000000000000000000100000001010001000001000000 310 00000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000001000001000000010000000101000000000000000000000000000000000000000000000000000000000000000000000100000000010000010000 310 01000000000000000000000001010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010000010000000001000000010100000000000000000000000000000000000000000000000000000000000000000100000000010000 310 00010100010100000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000100000100000000010100000001010000000000000000000000000000000000000000000000000000000000000100000001 310 01000000000001000001000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000100000101000000000001010000000101000000000000000000000000000000000000000000000000000000010100 310 00000101000000000000010000010000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000001000001000000000000000001000000000101000000000000000000000000000000000000000000000001 310 01010000000001000000000000000100000101000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000001010000010000000000000000000100000000010101000000000000000000000000000000000000 310 00010101000000000101000000000000000000010000010000000000000000000101000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001010000000000000000010000010000000000000000000000010100000000010101010000000000000000000000 310 00000101010100000000010100000000000000000000000100000101000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101000000000000010000010100000000000000000000000001010000000000000101010101010101 310 01010101010101000000000000010100000000000000000000000000010000010100000000000001010001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000010100000000010100000100000000000000000000000000000001010000000000000000 310 00000000000000000000000000000001010000000000000000000000000000000100000001010000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000001010101010000000100000000000000000000000000000000000001010100 310 00000000000000000000000000000000010101000000000000000000000000000000000000010000000101010101000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000101000000000000000000000000000000000000 310 00000101010100000000000000000000010101010100000000000000000000000000000000000000000001000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000010100000000000000000000000000000000 310 00000000000000000000010101010101010101010100000000000000000000000000000000000000000000000000000101000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101010100000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101010101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 310 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0 ENDSEC 0 EOF